Vascom Опубликовано 16 мая, 2014 Поделиться Опубликовано 16 мая, 2014 Добавь ресет и можешь убирать эти лишние задержки. Вот тестбенч http://paste.fedoraproject.org/102419/14002379 и модуль http://paste.fedoraproject.org/102420/37961140 0 Ссылка на комментарий Поделиться на другие сайты Поделиться
Leo Опубликовано 16 мая, 2014 Автор Поделиться Опубликовано 16 мая, 2014 Достойно.Но я не понел пока что ты сделал. А значения так и не распределились как надо. 0 Ссылка на комментарий Поделиться на другие сайты Поделиться
Реле, вентиляторы, корпуса, разъемы, а так же любые электронные компоненты в нашем каталоге! Бесплатная доставка + 15% кэшбэк + скидки!Перейти на сайт ТМ Электроникс Реклама: ООО ТМ ЭЛЕКТРОНИКС, ИНН: 7806548420, info@tmelectronics.ru, +7(812)4094849
Vascom Опубликовано 16 мая, 2014 Поделиться Опубликовано 16 мая, 2014 я добавил сигнал rstn. Как же не распределились? Всё как надо, по порядку идёт. 0 Ссылка на комментарий Поделиться на другие сайты Поделиться
Сравнительное тестирование аккумуляторов EVE Energy и Samsung типоразмера 18650Инженеры КОМПЭЛ провели сравнительное тестирование аккумуляторов EVE и Samsung популярного для бытовых и индустриальных применений типоразмера 18650. Для теста были выбраны аккумуляторы литий-никельмарганцевой системы: по два образца одного наименования каждого производителя – и протестированы на двух значениях тока разряда: 0,5 А и 2,5 А. Испытания проводились в нормальных условиях на электронной нагрузке EBD-USB от ZKEtech, а зарядка осуществлялась от лабораторного источника питания в режиме CC+CV в соответствии с рекомендациями в даташите на определенную модель. Подробнее>> Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161
Leo Опубликовано 16 мая, 2014 Автор Поделиться Опубликовано 16 мая, 2014 Да но регистры заполняются не по сигналу С а на 230 ns разом первый становится третьим а четвертый заполняется на 470 так что результат не совсем правельный. 0 Ссылка на комментарий Поделиться на другие сайты Поделиться
Новый аккумулятор EVE серии PLM для GSM-трекеров, работающих в жёстких условиях (до -40°С)Компания EVE выпустила новый аккумулятор серии PLM, сочетающий в себе высокую безопасность, длительный срок службы, широкий температурный диапазон и высокую токоотдачу даже при отрицательной температуре. Эти аккумуляторы поддерживают заряд при температуре от -40/-20°С (сниженным значением тока), безопасны (не воспламеняются и не взрываются) при механическом повреждении (протыкание и сдавливание), устойчивы к вибрации. Они могут применяться как для автотранспорта (трекеры, маячки, сигнализация), так и для промышленных устройств мониторинга, IoT-устройств. Подробнее параметры и результаты тестов новой серии PLM по ссылке. Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161
Vascom Опубликовано 16 мая, 2014 Поделиться Опубликовано 16 мая, 2014 Я тебя не понимаю. Какие С, откуда 230, 470? 0 Ссылка на комментарий Поделиться на другие сайты Поделиться
Литиевые батарейки и аккумуляторы от мирового лидера EVE в КомпэлКомпания Компэл, официальный дистрибьютор EVE Energy, бренда №1 по производству химических источников тока (ХИТ) в мире, предлагает продукцию EVE как со склада, так и под заказ. Компания EVE широко известна в странах Европы, Америки и Юго-Восточной Азии уже более 20 лет. Недавно EVE была объявлена поставщиком новых аккумуляторных элементов круглого формата для электрических моделей «нового класса» компании BMW.Продукция EVE предназначена для самого широкого спектра применений – от бытового до промышленного. Подробнее>> Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161
Leo Опубликовано 16 мая, 2014 Автор Поделиться Опубликовано 16 мая, 2014 Запускаю в программе GTKWave и результат выводится перевернутый на 230ns для трех регистров 1-3 а для четвертого потом а код был написан вывод по сигналу "c" 12 значений 3 значения четыре раза. У тебя что подругому? 0 Ссылка на комментарий Поделиться на другие сайты Поделиться
Vascom Опубликовано 16 мая, 2014 Поделиться Опубликовано 16 мая, 2014 Добавь ресет во все регистры. 0 Ссылка на комментарий Поделиться на другие сайты Поделиться
Leo Опубликовано 16 мая, 2014 Автор Поделиться Опубликовано 16 мая, 2014 Добавил в тест бэнч перед данными не помогло картина меняется не существенно или подругому надо сделать а чем грозят задержки #? в коде тест бэнч. 0 Ссылка на комментарий Поделиться на другие сайты Поделиться
Leo Опубликовано 2 июня, 2014 Автор Поделиться Опубликовано 2 июня, 2014 Хочу развести плату для Альтеры но почитав монуал чесно говоря не очень понял у микросхемы должно быть питание. Входов там несколько питание ядра вроде не одно и также для генератора. Питание портов ввода-вывода выводы под JTAG или есть ещё что то где это лучше посмотреть вроде в квартус есть картинка портов а то после монуала каша пока.Если есть опыт подскажи. 0 Ссылка на комментарий Поделиться на другие сайты Поделиться
Vascom Опубликовано 2 июня, 2014 Поделиться Опубликовано 2 июня, 2014 Читай даташит. Хотя я сомневаюсь, что осилишь разводку для Альтеры. 0 Ссылка на комментарий Поделиться на другие сайты Поделиться
Leo Опубликовано 2 июня, 2014 Автор Поделиться Опубликовано 2 июня, 2014 Звучит как приговор.Может основные моменты можно осветить и остольное стало бы понятнее.Или ты этим не заморачивался. 0 Ссылка на комментарий Поделиться на другие сайты Поделиться
Vascom Опубликовано 2 июня, 2014 Поделиться Опубликовано 2 июня, 2014 Этим ни кто не заморачивался. Купи макетную плату с распаяной альтерой. 0 Ссылка на комментарий Поделиться на другие сайты Поделиться
Leo Опубликовано 2 июня, 2014 Автор Поделиться Опубликовано 2 июня, 2014 Это ты видно просто не вкурсах.Жаль.Хотя может ты и прав.Но если чего узнаешь напиши. 0 Ссылка на комментарий Поделиться на другие сайты Поделиться
Vascom Опубликовано 2 июня, 2014 Поделиться Опубликовано 2 июня, 2014 Ну если ты сможешь несколько сотен шариков развести и многослойную плату сделать. То конечно. 0 Ссылка на комментарий Поделиться на другие сайты Поделиться
Leo Опубликовано 2 июня, 2014 Автор Поделиться Опубликовано 2 июня, 2014 Ты про BGA я про ту которая с ножками. 0 Ссылка на комментарий Поделиться на другие сайты Поделиться
Vascom Опубликовано 2 июня, 2014 Поделиться Опубликовано 2 июня, 2014 Ну и указал бы её модель, корпус. Или ты думаешь я твои мысли читаю? 0 Ссылка на комментарий Поделиться на другие сайты Поделиться
Leo Опубликовано 2 июня, 2014 Автор Поделиться Опубликовано 2 июня, 2014 Модель ALTERA ep3c25e144c8n tqfp144 или она тоже проблемная. 0 Ссылка на комментарий Поделиться на другие сайты Поделиться
Leo Опубликовано 2 июня, 2014 Автор Поделиться Опубликовано 2 июня, 2014 Нашёл распиновку ep3c25e144 но пока не очень разобрался. EP3C25.pdf 0 Ссылка на комментарий Поделиться на другие сайты Поделиться
Leo Опубликовано 11 июня, 2014 Автор Поделиться Опубликовано 11 июня, 2014 Появились в коде не понятные задержки что то не понятно почему. `timescale 1ns/1ns module counter_uut( shift, clk, sr_in, rstn, sr_out1, sr_out2, sr_out3 ); input rstn; input wire [7:0]sr_in; input shift,clk; output [7:0]sr_out1,sr_out2,sr_out3; reg[23:0]a; reg[7:0]b1,b2,b3; reg[7:0]s1,s2,s3,v1,v2,v3; reg [1:0]counte=2'b0; wire c; always @(posedge clk or negedge rstn) begin if(!rstn) a <= 24'b0; else a <= { a[15:0],sr_in }; end always @(posedge clk) begin if(counte==2'd2) counte <= 2'b0; else counte <= counte + 1'd1; end assign c= counte==2'd2; always @(posedge clk) if(c==1) begin s1<=a[23:16]; s2<=a[15:8]; s3<=a[7:0]; if(s1>b1) v1<=s1-b1; else v1<=b1-s1; if(s2>b2) v2<=s2-b2; else v2<=b2-s2; if(s3>b3) v3<=s3-b3; else v3<=b3-s3; end endmodule Тест бэнч `timescale 1ns/1ns module test(); reg rstn; reg clk; reg [7:0] sr_in; initial begin clk = 0; sr_in = 0; rstn = 0; end always #10 clk= ~clk; wire[7:0]sr_out1,sr_out2,sr_out3; counter_uut counter( .clk(clk), .rstn(rstn), .sr_in(sr_in), .sr_out1(sr_out1) ); initial begin $dumpfile("out.vcd"); $dumpvars(-1, test); $monitor($stime,, clk,,, sr_in,, sr_out1); @(negedge clk); rstn = 1; @(posedge clk); sr_in = 120; @(posedge clk); sr_in = 230; @(posedge clk); sr_in = 255; @(posedge clk); sr_in = 130; @(posedge clk); sr_in = 225; @(posedge clk); sr_in = 250; @(posedge clk); sr_in = 120; @(posedge clk); sr_in = 220; @(posedge clk); sr_in = 245; @(posedge clk); sr_in = 100; @(posedge clk); sr_in = 230; @(posedge clk); sr_in = 255; @(posedge clk); sr_in = 90; @(posedge clk); sr_in = 240; @(posedge clk); sr_in = 250; @(posedge clk); sr_in = 110; @(posedge clk); sr_in = 250; @(posedge clk); sr_in = 240; #430$finish(); end endmodule Значения v1,v2,v3 выводятся не одновременно через несколько тактов сигнала С начинается разнобой подскажи в чем проблема. 0 Ссылка на комментарий Поделиться на другие сайты Поделиться
Leo Опубликовано 13 июня, 2014 Автор Поделиться Опубликовано 13 июня, 2014 Переделал вот так вроде заработало не знаю так правельно always @(c) begin if(c==1) begin s1<=a[23:16]; s2<=a[15:8]; s3<=a[7:0]; end begin if(s1<b1) v1<=b1-s1; else v1<=s1-b1; if(s2<b2) v2<=b2-s2; else v2<=s2-b2; if(s3<b3) v3<=b3-s3; else v3<=s3-b3; b1<=s1; b2<=s2; b3<=s3; end end 0 Ссылка на комментарий Поделиться на другие сайты Поделиться
Рекомендуемые сообщения
Присоединяйтесь к обсуждению
Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.