SENDEJER Опубликовано 5 декабря, 2015 Поделиться Опубликовано 5 декабря, 2015 (изменено) надеюсь мне помогут, очень нужна помощь! помогите пожалуйста. есть 2 кода 1) 11 КОД ПРОГРАММЫ (п. 1(параллельный регистр)) library IEEE; use IEEE.STD_LOGIC_1164.all; entity Registr is port( CLK : in STD_LOGIC; WE : in STD_LOGIC; RE : in STD_LOGIC; DATA_IN : in STD_LOGIC_VECTOR(7 downto 0); DATA_OUT : out STD_LOGIC_VECTOR(7 downto 0) ); end Registr; architecture Registr of Registr is begin process (CLK) is variable T: STD_LOGIC_VECTOR (7 downto 0); begin if (WE = '1') and (RE ='0') then T:=DATA_IN; elsif (WE = '0') and (RE = '1') then DATA_OUT <= T; else DATA_OUT <= "ZZZZZZZZ"; end if; end process; end Registr; 2) 11 КОД ПРОГРАММЫ (п. 4(последовательный регистр)) library IEEE; use IEEE.STD_LOGIC_1164.all; entity registr_posl is port( DATA_IN : in STD_LOGIC; CLK : in STD_LOGIC; WE : in STD_LOGIC; RE : in STD_LOGIC; DATA_OUT : out STD_LOGIC_VECTOR(7 downto 0) ); end registr_posl; architecture registr_posl of registr_posl is begin process (CLK) is variable T: STD_LOGIC_VECTOR (7 downto 0); begin if CLK = '1' then if (WE = '1') and (RE ='0') then for i in 7 downto 1 loop T(i):=T(i-1); end loop; T(0):=DATA_IN; elsif (WE = '0') and (RE = '1') then DATA_OUT <= T; else DATA_OUT <= "ZZZZZZZZ"; end if; end if; end process; end registr_posl; можете пояснить, что чего и как? что за входные сигналы ,от куда на DATA_IN и DATA_OUT появляется сигнал, какие и как меняются , что за RE и WE? как он появляется на выходе , почему и какая задержка ? есть еще графики, могу скинуть Изменено 5 декабря, 2015 пользователем SENDEJER 0 Ссылка на комментарий Поделиться на другие сайты Поделиться
krend Опубликовано 15 декабря, 2015 Поделиться Опубликовано 15 декабря, 2015 а что именно не понятно? давай вместе разбираться... 0 с уважением Константин Сергеевич. Ссылка на комментарий Поделиться на другие сайты Поделиться
20% скидка на весь каталог электронных компонентов в ТМ Электроникс!Акция "Лето ближе - цены ниже", успей сделать выгодные покупки!Плюс весь апрель действует скидка 10% по промокоду APREL24 + 15% кэшбэк и бесплатная доставка!Перейти на страницу акции Реклама: ООО ТМ ЭЛЕКТРОНИКС, ИНН: 7806548420, info@tmelectronics.ru, +7(812)4094849
Рекомендуемые сообщения
Присоединяйтесь к обсуждению
Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.