Перейти к содержанию

STM32 для чайника


ART_ME

Рекомендуемые сообщения

Реклама: ООО ТД Промэлектроника, ИНН: 6659197470, Тел: 8 (800) 1000-321

20% скидка на весь каталог электронных компонентов в ТМ Электроникс!

Акция "Лето ближе - цены ниже", успей сделать выгодные покупки!

Плюс весь апрель действует скидка 10% по промокоду APREL24 + 15% кэшбэк и бесплатная доставка!

Перейти на страницу акции

Реклама: ООО ТМ ЭЛЕКТРОНИКС, ИНН: 7806548420, info@tmelectronics.ru, +7(812)4094849

Выбираем схему BMS для корректной работы литий-железофосфатных (LiFePO4) аккумуляторов

 Обязательным условием долгой и стабильной работы Li-FePO4-аккумуляторов, в том числе и производства EVE Energy, является применение специализированных BMS-микросхем. Литий-железофосфатные АКБ отличаются такими характеристиками, как высокая многократность циклов заряда-разряда, безопасность, возможность быстрой зарядки, устойчивость к буферному режиму работы и приемлемая стоимость. Но для этих АКБ, также как и для других, очень важен контроль процесса заряда и разряда, а специализированных микросхем для этого вида аккумуляторов не так много. Инженеры КОМПЭЛ подготовили список имеющихся микросхем и возможных решений от разных производителей. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

где именно? Конкретный пример приведите

 

Без HAL работа к примеру с USB это чистейшей воды мазохизм. То же самое относится и к CAN. И к I2S. Так что работа на серьезных проектах с серьезными камнями типа 4хх и 7хх очень быстро превратится в каторгу. На сотке еще можно повыпендриваться. Если конечно никто следом обслуживать код не будет и все это будет крутиться в домашней поделке уровня помигать диодиком++ 

Изменено пользователем mail_robot

Нужно делать то, что нужно. А то, что не нужно, делать не нужно. (С) Винни Пух

Ссылка на комментарий
Поделиться на другие сайты

mail_robot, Может вам вообще не заниматься программированием STM32, а к примеру посмотреть в сторону ARDUINO, у нее там очень много готовых библиотек встроенно вам понравиться.

Ссылка на комментарий
Поделиться на другие сайты

Опять срачи по поводу хала...Какой смысл их разводить? Все равно каждый при своём мнении останется.

Цитата

А где в HAL абстракция? То что там немного не то, там просто куча ненужного говна.

Абстракция над железом, это для того что бы не вникать в тонкости работы периферии. Вызвал функцию, передал данные по I2C к примеру, есть обработчик ошибок готовый, без него это все придётся руками писать... Есть и косяки не спорю, сам нарывался на довольно неприятные пару раз.

Ссылка на комментарий
Поделиться на другие сайты

  • 1 месяц спустя...

Добрый день!  Решил в виде нового хобби разобраться с STM32. До этого опыт только с Arduino.

У меня из железа есть NUCLEO-F401RE и китайский STM32F103C8T6 с стлинком. 

Начал я с установки STM32CubeMX, как я понимаю, в нем генерируется скелет проекта для какой-то IDE. В качестве IDE я выбрал Atollic TrueSTUDIO for STM32 9.1.0.

Первая цель - помигать светодиодом, с возможностью пошаговой отладки. А именно отладки мне в Arduino и не хватает.

Если я выбираю в CubeMX свою плату, то сходу получаю множество проблем:

 image.thumb.png.e0039cd246b7946eeb776da1a5ff3725.png

При этом SYS: Debug: Disabled.

1. Как с ними быть? Как узнать, что мне нужно выбирать, чтобы их исправить? Очистить pinout и заново выбрать только то, что нужно? Какой рекомендуемый минимум? Какую опцию выбрать для моего отладчика?

2. Я выбрал только резонатор и один вывод под LED, дописал в сгенерированный проект HAL_GPIO_TogglePin(GPIOA, GPIO_PIN_5); HAL_Delay(1000); И собрал успешно.
В качестве отладки выбрал Embedded C/C++ Application, и оно запустилось на устройстве. Но в Run пусто. Как залить прошивку без дебага?
Почему дебаг работает. когда в CubeMX он disabled?

3. Подскажите, пожалуйста, хороший цикл Tutorial, с которого можно начать.

4. В какую сторону стоит сразу двигаться после того, как блинк получился? FREERTOS? Цели хоббийные, профессионально заниматься этим не буду.

5. Хороший ли набор инструментов я выбрал? TrueSTUDIO выглядит поспешно сделанным на коленке инструментов, но другие варианты, которые я видел, еще хуже.

Изменено пользователем Dmitry Romanenko
Ссылка на комментарий
Поделиться на другие сайты

если выбираешь готовую плату в кубе, то в конфигураторе естественно некоторые ноги будут помечены красным, так как заняты по факту в готовом устройстве. И эти ноги будут иметь жесткую конфигурацию, независимо от твоих желаний. То есть дебаг будет работать. Диоды будут мигать и так далее.

это ответ на первые пару вопросов

на третий ответа нет. Есть гугл и референц мануал

на четвертый - можешь никуда не двигаться, пока с третим вопросом нормально не разберешься.

на пятый. Вообще не важно какой набор инструментов. Главное мозг. Но если интересно, то многим нравится Keil и CooCox. Полистай эту ветку, тут уже не раз обсуждалось

Изменено пользователем mail_robot

Нужно делать то, что нужно. А то, что не нужно, делать не нужно. (С) Винни Пух

Ссылка на комментарий
Поделиться на другие сайты

Спасибо, много прогуглил и написал пару хелловорлдов.

1. Как избавиться от дребезга? Видел ужасные примеры типа for (int i = 0; i < 65535; i++); внутри прерывания.
Для нормального способа в ардуине использовал millis и время трешхолда. Такой способ получения millis нормальный? https://stackoverflow.com/questions/37375602/arduino-millis-in-stm32

2. Как работать с 128x64 I2C дисплеем?
Я нагугли две полуживые непопулярные библиотеки, одна из которых просто форк второй: https://github.com/afiskon/stm32-ssd1306 и https://github.com/4ilo/ssd1306-stm32HAL
Это все, что есть?
Как правильно ставить библиотеки? Есть ли в IDE или кубе какой-то менеджер зависимостей как в современных ЯП, который сам все правильно поставит и соберет?

3. Можно ли заменить язык с C на C++?

4. ADC работает с каким напряжением? От 0 до опорного? На каком пине его взять? 
Распиновка: https://www.mbed.com/en/platform/hardware/boards/st/nucleo_f401re/

5. В отладчике работают брекпойнты, но значений переменных нет. Надо что-то в кубе поправить?
Я попробовал изменить в кубе все способы отладки, получил ошибку, вернул все назад. но ошибка слхранилась.

Error in initializing ST-LINK device.
Reason: Unknown MCU found on target.

6 часов назад, mail_robot сказал:

Вообще не важно какой набор инструментов. Главное мозг. 

Чем больше конкурирующих инструментов, тем больше времени надо потратить. чтобы в них разобраться. Для меня, как новичка, время сильно ограничено свободным временем. Я заметил, что больше информации по Keil.
К тому же не все используют HAL.

Изменено пользователем Dmitry Romanenko
Ссылка на комментарий
Поделиться на другие сайты

1 hour ago, Dmitry Romanenko said:

1. Как избавиться от дребезга?

гдето в разделе была целая ветка про это с примерами кода на все случаи жизни

1 hour ago, Dmitry Romanenko said:

2. Как работать с 128x64 I2C дисплеем?

библиотек под STM практически нет, потому как смысла в них мало. Под простенькие вещи люди сами пишут. Либо берут код от ардуино и быстренько адаптируют. Как то так

1 hour ago, Dmitry Romanenko said:

3. Можно ли заменить язык с C на C++?

меняй, кто тебе не дает? Если только среда программирования его поддерживает и компилятор компилит. А то не все могут

1 hour ago, Dmitry Romanenko said:

4. ADC работает с каким напряжением?

от нуля и до опорного. Читай мануал, там все есть

1 hour ago, Dmitry Romanenko said:

5. В отладчике работают брекпойнты, но значений переменных нет

а ты вочлист сделал? И видно будет только то, что в области видимости. Все подряд тебе отладчик мониторить не будет. Для сложной отладки бывает удобнее использовать CDC или UART и в консоль компа слать то что хочется увидеть. Это реализуется в 5 секунд почти без усилий.

1 hour ago, Dmitry Romanenko said:

Error in initializing ST-LINK device.
Reason: Unknown MCU found on target.

все ж написано русским языком - указан неверный камень в конфигурации

Нужно делать то, что нужно. А то, что не нужно, делать не нужно. (С) Винни Пух

Ссылка на комментарий
Поделиться на другие сайты

40 минут назад, mail_robot сказал:

все ж написано русским языком

У меня опыт меньше суток с этой платформой) Я не менял камень - куда смотреть?

40 минут назад, mail_robot сказал:

библиотек под STM практически нет, потому как смысла в них мало.

Я работаю в области, где переизобретение велосипеда считается дилетантством и недопустимо. Странно получается в этой сфере, что все наоборот.

40 минут назад, mail_robot сказал:

Если только среда программирования его поддерживает и компилятор компилит. А то не все могут

А что поддерживает?

40 минут назад, mail_robot сказал:

от нуля и до опорного.

Я в мануале про опорное и прочитал. Но на каком пине оно?

Изменено пользователем Dmitry Romanenko
Ссылка на комментарий
Поделиться на другие сайты

опыт нарабатывается, библиотеки гуглятся. Но такого как в ардуино тут не будет.

1 hour ago, Dmitry Romanenko said:

А что поддерживает?

Ну я знаю что компилятор MDK ARM поддерживает С++. По крайней мере я на нем кодил на плюсах и все нормально собиралось. Кокос типа поддерживает, но ровно настолько, насколько на это способен gcc, а способен он как то странно. По крайней мере заставить его чтото на эту тему собрать у меня не удалось. Однако сообщают что у кого то работает. Как - я не знаю. Но вообще по опыту скажу - С++ удобен только для регистрового кода. Если кодить на HAL или что-то писать под CMSIS OS, то он совершенно ни к чему. Пространство имен замучает своими приколами с обертками. Да и процедурки там вполне достаточно без всяких классов.

1 hour ago, Dmitry Romanenko said:

Но на каком пине оно?

VREF очевидно, на каком же еще... Неужели это так сложно понять по названию пина? Все же перед глазами

3 hours ago, Dmitry Romanenko said:

Такой способ получения millis нормальный?

использование системного таймера SysTick совсем не плохая затея. Он часто используется, когда надо на лету организовать засечку времени с дискретой в миллисекунду. Но есть грабли с переполнением. Это тоже обсуждали с разделе. И как это по простому обойти, если таймрепер получен на границе

Изменено пользователем mail_robot

Нужно делать то, что нужно. А то, что не нужно, делать не нужно. (С) Винни Пух

Ссылка на комментарий
Поделиться на другие сайты

Чет не захотел я создавать новую тему, напишу тут. Чет умаялся, не могу получить нормальную температуру с внутреннего датчика STM32F030F4P6

#define TEMP110_CAL_ADDR ((uint16_t*) ((uint32_t) 0x1FFFF7C2))
#define TEMP30_CAL_ADDR ((uint16_t*) ((uint32_t) 0x1FFFF7B8))


int32_t ComputeTemperature(uint32_t measure)
{
  int32_t temperature;
  
  temperature = ((measure) - (int32_t) *TEMP30_CAL_ADDR ) ;   
  temperature = temperature * (int32_t)(110 - 30);                      
  temperature = temperature / (int32_t)(*TEMP110_CAL_ADDR - *TEMP30_CAL_ADDR);                 
  temperature = temperature + 30;
	return(temperature);
}

Вот для примера инициализация АЦП

/* ADC init function */
void MX_ADC_Init(void)
{
  ADC_ChannelConfTypeDef sConfig;

    /**Configure the global features of the ADC (Clock, Resolution, Data Alignment and number of conversion) 
    */
  hadc.Instance = ADC1;
  hadc.Init.ClockPrescaler = ADC_CLOCK_ASYNC_DIV1;
  hadc.Init.Resolution = ADC_RESOLUTION_12B;
  hadc.Init.DataAlign = ADC_DATAALIGN_RIGHT;
  hadc.Init.ScanConvMode = ADC_SCAN_DIRECTION_FORWARD;
  hadc.Init.EOCSelection = ADC_EOC_SINGLE_CONV;
  hadc.Init.LowPowerAutoWait = DISABLE;
  hadc.Init.LowPowerAutoPowerOff = DISABLE;
  hadc.Init.ContinuousConvMode = DISABLE;
  hadc.Init.DiscontinuousConvMode = DISABLE;
  hadc.Init.ExternalTrigConv = ADC_SOFTWARE_START;
  hadc.Init.ExternalTrigConvEdge = ADC_EXTERNALTRIGCONVEDGE_NONE;
  hadc.Init.DMAContinuousRequests = DISABLE;
  hadc.Init.Overrun = ADC_OVR_DATA_PRESERVED;
  if (HAL_ADC_Init(&hadc) != HAL_OK)
  {
    _Error_Handler(__FILE__, __LINE__);
  }

    /**Configure for the selected ADC regular channel to be converted. 
    */
  sConfig.Channel = ADC_CHANNEL_TEMPSENSOR;
  sConfig.Rank = ADC_RANK_CHANNEL_NUMBER;
  sConfig.SamplingTime = ADC_SAMPLETIME_1CYCLE_5;
  if (HAL_ADC_ConfigChannel(&hadc, &sConfig) != HAL_OK)
  {
    _Error_Handler(__FILE__, __LINE__);
  }

}

У меня почему то получается значение почти в 2.5 больше. Если конечно поделить конечный результат на 2.5 то данные начинают походить на правду. Но все равно может я что то упускаю, вроде посмотрел, почитал, вроде все должно работать как надо. Да кстати убрал коррекцию для 3.0V у меня на VDDA - 3.3V.

Изменено пользователем tar
Ссылка на комментарий
Поделиться на другие сайты

У меня STM32F030K6 проверял так

Clipboard06.jpg.478bb07664ba38c99f0e068730c9c7d1.jpg

Код не полный, посмотрите какие значения в отладчике. Результат 37 С (0х25) похоже соответствует действительности.

Ссылка на комментарий
Поделиться на другие сайты

Расчет как понимаю ведется правильно, такое чувство что я либо получаю какую то дичь, либо изначально заданные калибровочные значение были заданы от балды.

 

5bfef44edc2b7_2018-11-2823_01_13.thumb.png.118c078019bbc8227805dc2020713229.png

 

Ссылка на комментарий
Поделиться на другие сайты

Когда то возился с ним, правда на f1xx серии, точностью совсем не порадовал... TSEN в ADC_CCR установлен? После его установки нужно некоторое время, пока термодатчик придёт в чувства, после этого только измерять. 

Ссылка на комментарий
Поделиться на другие сайты

ну и вопрос опять же - чем проверить достоверность его показаний? Он же на кристалле в корпусе. Ты вроде измерил, а правильно, нет? Что измерил? хз короче. Один раз чисто из интереса попытал его, потом бросил и забил. В аварийных целях пойдет

11 hours ago, tar said:

sConfig.SamplingTime = ADC_SAMPLETIME_1CYCLE_5;

вот эта строчка кстати довольно сильно может влиять на точность измерений. Особенно если предыдущий канал опроса занят

Нужно делать то, что нужно. А то, что не нужно, делать не нужно. (С) Винни Пух

Ссылка на комментарий
Поделиться на другие сайты

7 часов назад, mail_robot сказал:

вот эта строчка кстати довольно сильно может влиять на точность измерений.

Да, неплохо так повлияла. Но все равно дичь какая та - 40 градусов выдает. Короче перепробовал все и плюнул на это дело, заказал LM75 10 штук за 100 рублей, точность по любому должна быть лучше чем у внутреннего, печально конечно что подключать придется, программить и все такое,  и место тратить на плате. 

Ссылка на комментарий
Поделиться на другие сайты

а для какой цели температуру в корпусе понадобилось измерять?

Нужно делать то, что нужно. А то, что не нужно, делать не нужно. (С) Винни Пух

Ссылка на комментарий
Поделиться на другие сайты

Да мне та оно не нужно, это так сказать требования заказчика, говорит "можем?" я горю "могу", ну грит "делай тогда". Короче делается это потому что могу ))

Ссылка на комментарий
Поделиться на другие сайты

  • 1 месяц спустя...

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.

Гость
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Ответить в этой теме...

×   Вставлено с форматированием.   Восстановить форматирование

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу

  • Сообщения

    • Объясните кто-нибудь, пожалуйста, человеку с высшим образованием : вольтметр подключен к выходу выключенного из розетки усилителя, режим - DC, предел - mV - показывает 28 мВ постоянки! Что он показывает?! И как ему верить, если я как раз хочу узнать постоянку на выходе? Закорачиваю и размыкаю провода выхода - показывает 8 мВ, а потом не спеша растет до 28 мВ. С замкнутыми щупами тестер показывает чистый 0. Остаточный заряд конденсаторов на плате? Наводки? Но на тестере режим измерения постоянки. Тестер хороший, японец. При включенном усилителе на выходе 38 мВ постоянки. А веры в эти цифры нету! Что посоветуете? Спасибо!      
    • Если не секрет для чего такой Блок питания. Вам что действительно нужны очень большие токи? Но тогда линейник это не вариант
    • Здравствуйте. На время отпуска отключаю ибп CyberPower bs850e от розетки, и вынимаю все розетки из него. Уже 2я батарейка после отпуска показывает низкое напряжение 7.33V (я читал что восстановить это непросто). Вопросы: 1) Получается не надо так делать, или виноват ибп? 2) Почему напряжение на батарее падает, если никаких потребителей нет?
    • у меня уже сгенерировано несколько загрузчиков под разные МК. из старых МК я не сделал только для АТмега16 и АТмега32. сейчас имеется 4 вида полных загрузчиков: АТмега8, АТмега88, АТмега168, АТмега328. наличие в конце разных буковок значения не имеет. а для неполных загрузчиков тип МК вообще не нужен. не нужна никакая кнопка в программе для генерации загрузчика. нет никаких проблем, чтобы в исходниках загрузчиков сразу прописать название МК, а в комповой программе добавить команду прочитать название МК. у меня структура функций записи и чтения построены так: при записи: 1а. команда принять пакет данных. 1б. передача в МК пакета, 128 байт. 1в. прием ответа от МК. 2а. команда задания начального адреса. 2б. прием ответа от МК. 3а. команда записать принятый блок данных. 3б. прием ответа от МК. при чтении: 1а. команда задания начального адреса. 1б. прием ответа от МК. 2а. команда прочитать блок данных, 128 байт. 2б. прием ответа от МК. 3а. команда передать в комп блок данных, 128 байт. 3б. прием блока данных, 128 байт. под приемом ответа от МК везде имеется в виду, когда МК передает принятую команду.
    • Замените номинал R1 (1 кОм), на резистор 3,9 кОм и тогда получите на выходе максимально в районе 25 В (предполагаю даже чуть, чуть больше, но не сильно...).  В идеале необходим 4,1 кОм (но нет такого номинала), ближайший 3,9 или 4,3, так что ближе 3,9 кОм, но это теория, а на практике увидите сами, что получилось.  
    • Посмотрел ссылку, раньше читал её. Оставил от Арии электродвигатель ПЭП-001 с его управлением, диск, тор, и плату управлением тонарма, верхнию крышку с петельками. Корпус выкинул вместе с тонармом, есть тонарм unitra g602c, думаю поставить его, корпус будет другой, автоматику управлением тонарма может быть ставить не буду, в дальнейшем посмотрю. Купил в рассрочку другой проигрыватель тоже с прямым приводом Lenco L-3810, картридж АТ3600, никакой автоматики нет, есть встроенный фонокорректор и т.д. 
    • В таком запараллеливании может быть толк только если ждешь снижения искажений. И то не факт.
×
×
  • Создать...