Перейти к содержанию

Преобразователь двоично-десятичных чисел в код семисигментного индикатора


Рекомендуемые сообщения

Добрый день, форумчане. 

Пишу курсовой проект по преобразователям кодов. Загвоздка случилась, когда добралась до преобразователя чисел в код семисегментного индикатора. 
Пока исследовала интернет в поисках информации, наткнулась на один ресурс, который в принципе всё более-менее доходчиво объяснил, но. По заданию, нужно по максимуму упростить схему, при этом что бы она теряла функционала, ну и само собой приложить к ней таблицу истинности и всё такое прочее.

Прикладываю скриншоты, которые нашла. Прошу, подскажите, в каком направлении идти при постройке готовой схемы? Возможно, есть какие-то материалы на эту тему (честно скажу, много искала, но нашла очень мало нужного). Буду очень признательна, если укажете, в каком пути нужно двигаться (хочу сама разобраться в этой теме). Спасибо.

Скрытый текст

Podklyuchenie-odnogo-indikatora.jpg.ad251710c2fcf2c89cac075e80bc73a7.jpgPerevod-dvoichno-desyatichnogo-koda-v-kod-semisegmentnogo-indikatora.jpg.b7bc3d8f5eb03d176b8c9b918c301326.jpg

 

Ссылка на комментарий
Поделиться на другие сайты

Реклама: ООО ТД Промэлектроника, ИНН: 6659197470, Тел: 8 (800) 1000-321

20% скидка на весь каталог электронных компонентов в ТМ Электроникс!

Акция "Лето ближе - цены ниже", успей сделать выгодные покупки!

Плюс весь апрель действует скидка 10% по промокоду APREL24 + 15% кэшбэк и бесплатная доставка!

Перейти на страницу акции

Реклама: ООО ТМ ЭЛЕКТРОНИКС, ИНН: 7806548420, info@tmelectronics.ru, +7(812)4094849

Выбираем схему BMS для корректной работы литий-железофосфатных (LiFePO4) аккумуляторов

 Обязательным условием долгой и стабильной работы Li-FePO4-аккумуляторов, в том числе и производства EVE Energy, является применение специализированных BMS-микросхем. Литий-железофосфатные АКБ отличаются такими характеристиками, как высокая многократность циклов заряда-разряда, безопасность, возможность быстрой зарядки, устойчивость к буферному режиму работы и приемлемая стоимость. Но для этих АКБ, также как и для других, очень важен контроль процесса заряда и разряда, а специализированных микросхем для этого вида аккумуляторов не так много. Инженеры КОМПЭЛ подготовили список имеющихся микросхем и возможных решений от разных производителей. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

Начните, например, с этого: http://digteh.ru/CVT/dc.php а далее, если останутся вопросы, будем посмотреть. Еще можно взять любой справочник по СССР-овским логическим микросхемам серии К155, К561 - там обязательно будут дешифраторы семисегментных индикаторов, а для них - структурная "внетренняя" схема, таблицы истинности и т.п.

Если забанить всех, кто набрался смелости думать независимо, здорово будет на форуме - как на кладбище: тишина, птички поют...

Ссылка на комментарий
Поделиться на другие сайты

Часы на 155 и 133 логике и индикаторах ИВ-6 лет 20 отработали. Есть статья Особенности преобразования двоичного кода числа в 2-10 код.

Может поможет.

Ссылка на комментарий
Поделиться на другие сайты

2 часа назад, Melania сказал:

Пишу курсовой проект по преобразователям кодов.

Если курсач, то, как я понимаю, нужно разработать схему на элементарных логических элементах, входящих в указанный в задании базис.

@Melania , какое именно задание поставлено Вам?

Ссылка на комментарий
Поделиться на другие сайты

Преобразователи 2/10 кода в 7-сегментный с непосредственным подключением к светодиодному индикатору:

КР514ИД2 для индикатора с общим анодом;

КР514ИД1 для индикатора с общим катодом.

Ссылка на комментарий
Поделиться на другие сайты

22 часа назад, mvkarp сказал:

Если курсач, то, как я понимаю, нужно разработать схему на элементарных логических элементах, входящих в указанный в задании базис.

@Melania , какое именно задание поставлено Вам?

Да, вы правы, разработать схему преобразователя двоично-десятичных чисел для семисегментного индикатора на логических элементах, ну и таблицу истинности к этому всему. Я немного разобралась с тем, что знатоки выше кинули, больше спасибо всем, кто откликнулся. Сама бы я вряд ли дошла к этому, так как вообще не в том направлении смотрела. Попытаюсь, по общим принципам, построить схему, исходя из материала что мне предоставили. 

Ссылка на комментарий
Поделиться на другие сайты

Таблица истинности  - уже есть- это ваша вторая картинка. Дальше рисуете это на логике и для оптимизации читаете про карты Карно

Настоящему коту и в декабре март!

Ссылка на комментарий
Поделиться на другие сайты

Уважаемая Melania, как мне представляется преобразование 2/10 кода в  7-ми сегментный - это довольно хорошо "распаханное" поле. Вы всё систематизируете и получится вполне приличный курсовой. В него можно добавить изюминку. Интересной и не очевидной особенностью дешифраторов является их реакция на "неправильные" коды. Что, например, должен высветить индикатор, если на входах дешифратора появится число 10 (0101) или 15 (1111)? Некоторые дешифраторы в таких случаях "рисуют" буквы, но не все... Здесь имеется некоторое разнообразие, а значит появляется пространство для Ваших интересных изысканий. Успеха Вам!       

Ссылка на комментарий
Поделиться на другие сайты

1 час назад, Melania сказал:

Попытаюсь, по общим принципам, построить схему,

Схема строится не по общим принципам, а по вполне проверенному и пропаханному пути.

Составляется таблица истинности. Это таблица значений выходных уровней для возможных входных.
Составляются уравнения для каждого выхода.
Уравнения оптимизируются согласно Законом алгебры логики (Булевой алгебры). Эта оптимизация Вашими усилиями должна привести к простым членам выражения согласно заданному базису.
Пример: Вариант 20.djvu

Про карты Карно Вам уже сказали.
Схемы из простых логических элементов самих дешифраторов можете поискать в справочниках по цифровым микросхемам. Иногда встречаются.

Ссылка на комментарий
Поделиться на другие сайты

Итак, кажется, у меня что-то начало получаться.
Схему было решено делать в логисим. Прошерстила пару справочников, большое спасибо всем, кто дал наводку (извините, не могу поставить всем плюсики, ограничение на 5 штук в день).

Схема построена на подсхемах, прикладываю скриншоты и файлик проекта, авось кому-то после меня пригодится. И всё бы ничего, но есть одно некритичное "но" - моя схема позволяет отображать лишь числа от 0 до 7. Я могу добавить еще один вход, но совершенно не понимаю, как модифицировать схему, что бы не сломать то что есть сейчас, и добавить поддержку отображения чисел 8 и 9... Вообще, хотелось бы сделать многоразрядный преобразователь, но боюсь что и тут могу дров наломать.  

Скрытый текст

1234.png.a833c69c4328c87b25d762a788a86325.png

 

123.thumb.png.81da222f3f102ee8518706e33d969976.png

 

point.circ - и сам готовый файлик

 

Ссылка на комментарий
Поделиться на другие сайты

Молодец! Примерно так все и должно выглядеть. В логисим не работал, поэтому не знаю, почему можно наломать дров. Сохраняете файл под новым именем (делаете бекап) и - вперед! Добавляете четвертый вход, да схема усложнится в два раза, на то и двоичная система. Будете рисовать - не забудьте замечание Starik'a, сделайте так, чтобы при комбинациях 10-15 высвечивались буквы a-f , или символы "-" как признак неверной цифры. С точки зрения сложности составления схемы это безразлично. Дерзайте!

Настоящему коту и в декабре март!

Ссылка на комментарий
Поделиться на другие сайты

@Melania , вы напихали кучу инверторов перед элементами. Делается немного проще. По каждой линии входа (у Вас их должно быть 4, если нет необходимости в стробирующем входе) устанавливается один инвертор. Таким образом получаем 8 линий входа - 4 прямых, 4 инверсных. Их и используем в дальнейшей логике.

Вторую схему не проверял. Но что-то уж очень много наворочено. Первая - я вообще не понял, что это? Логисим не использую, потому нет возможности проверить и что-то поменять.

Ссылка на комментарий
Поделиться на другие сайты

В давние времена, когда кроме логики ничего не было, у нас с мужиками возник спор - кто сделает схему декодера 2-10 - 7 сегм. с минимальными затратами.

Приз выиграл один из наших инженеров, используя элементы с ОК (133ЛА8) и с объединяющими по ИЛИ резисторами, которые одновременно токоограничивающие для светодиодов.

Увы, сейчас схему не вспомню, но было весело.

Потом эту схему разместили в одном из выпусков "Электронная техника в автоматике".

А так - все верно. Карты Карно и минимизация частных функций.

Ссылка на комментарий
Поделиться на другие сайты

4 часа назад, KomSoft сказал:

Будете рисовать - не забудьте замечание Starik'a, сделайте так, чтобы при комбинациях 10-15 высвечивались буквы a-f , или символы "-" как признак неверной цифры. С точки зрения сложности составления схемы это безразлично. Дерзайте!

Спасибо, я буду пытаться это реализовать, раз уж взялась, то до конца ;)
 

3 часа назад, mvkarp сказал:

Вторую схему не проверял. Но что-то уж очень много наворочено. Первая - я вообще не понял, что это? Логисим не использую, потому нет возможности проверить и что-то поменять.

В первой схеме я использовала подсхемы - схемы в схеме (те самые квадратики с метками Q1...Q7), для облегчения восприятия схемы (ужас, как звучит). По сути, первая схема ничем не отличается от второй.
 

2 часа назад, mvkarp сказал:

Некоторые примеры:
1 - 514ИД1.pdf

2 -  
3 - поиск по фразе Синтез дешифраторов

Спасибо за идею... почему-то не подумала что можно реализовать всё вот так. 

Ссылка на комментарий
Поделиться на другие сайты

Запустил Логисим. @Melania , в нем есть настройки, которые позволяют изображать УГО нашими привычными стандартами. Вот так:
 

Скрытый текст

593323f5bb3c6_2-7__.thumb.jpg.19ef336d237db7f4757bc1c8e90e3ec9.jpg

Файл - Настройки:
 

Скрытый текст

593324935f355_Logisim.jpg.76c49bda45edddab967c0e3544e999d0.jpg

 

Ссылка на комментарий
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.

Гость
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Ответить в этой теме...

×   Вставлено с форматированием.   Восстановить форматирование

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу

  • Сообщения

    • @Gomerchik а вы контролировали как меняется уровень сигнала на А1 ардуины?
    • Спасибо за совет. Автором данного проекта я не являюсь, мне нужно было воссоздать уличный датчик для метеостанции взамен пропавшего(( Из разного найденного в интернете этот проект работает с моей станцией Орегон (спасибо автору). В понедельник попробую последовать Вашему совету. Но все равно куча непоняток  как блин это работает)) Если дело в неправильной отправки команды, то как на это влияет подключение датчика температуры? Если совсем не подключать таймер, то передача идет один раз (как и прописано в программе), станция принимает и отображает, но минут через сколько-то естественно станция уже ни чего не показывает, но с таймером питание полностью не пропадает с ардуинки, но передача сигнала каким-то образом работает по таймеру.  В моем понимании данная команда подается один раз потому, что таймер должен отключать питание МК после передачи сигнала и каждые 43 сек снова подавать питание (так того требует станция).  Ардуино передает показания температуры отключается полностью и 43 секунды мк не работает.  Сейчас у меня питание пока сделано на подпитке от солнечной батареи, но пару пасмурных дней и аккумулятор съедается до отключения(
    • thickman Так и сделаю. Вытащу из бу БП.  Буду знать, как отличить. Благодарю. Заменил транзисторы на IRFB20N50K. Картина стала, совсем другой.  Похоже трудность не в драйвере, на момент подвозбуда, переходные процессы, в нем, завершены. Увеличил затворные резисторы до 50ом, стало немного лучше.  Не понятно, почему верхний ключ греется несколько сильнее. Возможно, стоит посмотреть ток в коллекторе.  Снабберные емкости временно удалил, изменений не произошло.  Замена ТГР на другой, на кольце MSTN-16A-TH, так же, результата не принесла.   irfb20n50k.pdf
    • А что нить из ассортимента активных щупов производства СССР..))
    • Типа такого: https://aliexpress.ru/item/2044864227.html?sku_id=58855020183
×
×
  • Создать...