Перейти к содержанию

LCD и PIC18


Tulen

Рекомендуемые сообщения

Здарова, железячники. 

Собираю клавиатуру из 12-ти кнопок, которую подключаю к пичку (PIC18F25K80). Но про клавиатуру не важно, там все разобрано и код сделал уже. Проблема в том, что сейчас нет времени самому писать библиотеку для работы с LCD дисплеем (через недельку-две я сяду и разберусь), поэтому я ищу готовые библиотеки. Дисплей - LM016L, контроллер - HD44780

Нашел одну библиотеку, добавил в код. Компилятор ругается на выводы строчек и не хочет выводить переменные. 

Прилагаю код:

Скрытый текст

/* 
 * File:        newmain.c
 * Автор:       ViktoR
 * Программа:   Передача параметров с клавиатуры
 * Создана:     15 декабря 2017 г., 15:44
 */

// Подключаем библиотеки
#include <stdio.h>      // Стандартная библиотека Си для ввода-вывода
#include <stdlib.h>     // Стандартная библиотека Си для контроля
#include <xc.h>         // Библиотека компилятора XC8 для работы с PIC

// Определение параметров микроконтроллера
#define _XTAL_FREQ 16000000     // Тактовая частота 16 МГц (см. OSCCON)
#define DELAY_ADC 10            // Задержка АЦП, в мс

// Определение параметров для подключение периферии
// Светодиоды
#define LED_ERROR RB6   // Светодиод, индицирующие статус набора (ошибка)
#define LED_OK RB7      // Светодиод, индицирующие статус набора (норм)
#define KEYBOARD AN0    // Определяем порт для подключения клавиатуры через АЦП
// ВАЖНО: ПОРТ ДОЛЖЕН ПОДДЕРЖИВАТЬ АНАЛОГОВЫЕ СИГНАЛЫ (НАЗЫВАТЬСЯ AN)
//  LCD биты и переменные
#define LCD_width  15   //  Ширина дисплея
#define LCD_height 1    //  Высота дисплея
#define RS_1 RB6 = 1    //  RC0
#define RS_0 RB6 = 0    //  RC0
#define E_1  RB5 = 1    //  RA0
#define E_0  RB5 = 0    //  RA0

// LCD биты и переменные:
const unsigned char addLUT[4] = {0x80, 0xC0, 0x94, 0xD4};
unsigned char LCD_Address, LCD_Line;
char buffer[15];

void delay(unsigned int p)
{
    unsigned int i;
    for(i=0;i<p ;i++){asm("NOP");}
}

//  LCD
void WriteNibble(unsigned char data)
{
    E_1;
    PORTC = (data & 0x0F)*16;
    E_0;
    delay(300);
}

void WriteByte(unsigned char data)
{
    E_1;
    PORTC = (data >> 4)*16;
    E_0;
    E_1;
    PORTC = (data & 0xF)*16;
    E_0;
    delay(300);
}

void SetLCDPosition(char row, char col)
{
    RS_0;
    WriteByte(addLUT[row] + col);
    RS_1;
    LCD_Address=col;
    LCD_Line = row;
}

void ClearLCD(void)
{
    RS_0;
    WriteByte(0x01);
    delay(1000);
    RS_1;
    SetLCDPosition(0,0);
}

void ShowChar(unsigned char c)
{
    RS_1;
    WriteByte(c);
    LCD_Address++;
    if(LCD_Address>LCD_width)
        if(LCD_Line<LCD_height)
            SetLCDPosition(LCD_Line+1,0);
        else
            SetLCDPosition(0,0);
}

void ShowStr(unsigned char *s)
{
    while (*s != 0) ShowChar(*s++);
}

void InitLCD(void)
{
    int i;
    E_0;
    RS_0;
    delay(5000);
    WriteNibble(0x33);
    WriteNibble(0x33);
    WriteNibble(0x33);
    WriteNibble(0x22);
    WriteByte(0x28);
    WriteByte(0x01);
    WriteByte(0x10);
    WriteByte(0x06);
    WriteByte(0x0C);
    for(i=0x40; i < 0x5F; i++)
    {
        delay(1000);
        RS_0;
        WriteByte(i);
        delay(1000);
        ShowChar(0);
    }
    RS_1;
    SetLCDPosition(0, 0);
    /*buffer[0] = 'O';
    buffer[1] = 'k';
    buffer[2] = '\0';
    ShowStr(buffer);*/
}
//  -LCD биты

/*// Объявляем глобальные переменные:
int gNumber[5] = {0}; // Массив с разрядами набранного числа*/

// Объявляем используемые функции
void Prepare();             // Функция подготовки МК (настройка портов и т.п.)
int get_Number();           // Функция получения набранного номера
void DelLastNumb(int i);    // Функция удаления последней цифры
void StartADC();            // Функция запуска АЦП

int main()  // Главная функция
{
    // Определяем локальные переменные:
    /*int key, i = 0;    // Переменные для определения нажатой кнопки и разрядности соотвественно
    float Middle;      // Костыльная переменная*/
    
    // Вызываем нужные функции:
    Prepare();  // Вызов функции подготовки  
    delay(100);
    InitLCD();  //  инициализация дисплея
    __delay_us(30);
    ClearLCD(); //  очистка дисплея
    //delay(10000);
    unsigned char b = ADRESH;
    while(1)
    {
        if(ADRESH > 40)
        {
            __delay_ms(5);
            ClearLCD();
            
            __delay_ms(5);
            ShowStr(b);
            __delay_ms(500);
            StartADC(); 
            LED_OK = 1;
            //ShowStr("GOOD");   //  вывод строки*/   
            
        }
        else
        {
            __delay_ms(5);
            ClearLCD();
            __delay_ms(5);
            ShowStr("BAD");
            __delay_ms(500);
            StartADC(); 
            LED_OK = 0;
            //ShowStr("GOOD");   //  вывод строки*/  
        }      
    }

    
    
    /*while(1)    // Бесконечный цикл работы МК
    {
        PORTC = ADRESH;
        // Проверка на окончание преобразование АЦП и фильтрация шумов)
        if((ADCON0bits.GO == 0))   
        {    
            if(ADRESH > 15)
            {
                LED_OK = 1;
                LED_ERROR = 0;
                StartADC();
            }
            else
            {
                LED_OK = 0;
                LED_ERROR = 1;
                StartADC();
            }
        }
    }*/
    return 0;
}

// Описание функции подготовки (настройки) параметров МК
void Prepare()
{
    // Настройки МК:
    OSCCON = (1<<4)|(1<<5)|(1<<6);  // Установка IRCF = '111' для частоты в 16 MHz
    ADCON1bits.VCFG0 = 0;           // Установка опорного напряжения
    ADCON1bits.VCFG1 = 0;           // Установка опорного напряжения
    TRISA = 0b1111111;              // Ножки RA0 - R7 - вход
    TRISB = 0b0000000;              // Ножки RB0-RB7 - выход
    TRISC = 0b0000000;              // Ножки RC0-RC7 - выход
    ANCON0 = 1;                     // AN как аналоговый входы
    // Настройки АЦП:
    ADCON2bits.ACQT = 1;            // 2 = 4TAD
    ADCON2bits.ADCS = 0b010;        // 16 TOSC
    ADCON0bits.CHS4 = 0;            // Настройка входа АЦП (AN0)
    ADCON0bits.CHS3 = 0;            // Настройка входа АЦП (AN0)
    ADCON0bits.CHS2 = 0;            // Настройка входа АЦП (AN0)
    ADCON0bits.CHS1 = 0;            // Настройка входа АЦП (AN0)
    ADCON0bits.CHS0 = 0;            // Настройка входа АЦП (AN0)
    ADCON2bits.ADFM = 0;            // Левое выравынивание
    ADCON0bits.ADON = 1;            // Включили модуль АЦП
    PIR1bits.ADIF = 0;              // Сброс прерываний АЦП
    PIE1bits.ADIE = 0;              // Разрешили прерывание АЦП
    INTCONbits.PEIE = 0;            // Периферийные прерывания разрешены
    INTCONbits.GIE = 0;             // Глобальные прерывания разрешены
    ADCON0bits.GO = 1 ;             // Метка начала преобразования
}

/*// Описание фунцкии удаления последнего номера:
void DelLastNumb(int i)
{
    if(i == 0)
    {
        gNumber[0] = 0;
    }
    for(int j = 0; j <= i; j++)
    {
        if (j == i)
        {
            gNumber[j] = 0;
        }
        else
        {
            gNumber[j] = gNumber[j+1];
        }
    }
}*/

// Описание функции получения числа
/*int get_Number()
{
    return (gNumber[4]*10000 + gNumber[3]*1000 + gNumber[2]*100 + gNumber[1]*10 + gNumber[0]);
}*/

// Описание функции запуска АЦП
void StartADC()
{
    __delay_ms(DELAY_ADC);  // Задержка перед началом АЦП
    ADCON0bits.GO = 1 ;     // Метка начала преобразования
}

 

IDE - MPLAB X v4.05

Компилятор - XC8 бесплатный.

Варнинги от компилятора + строчки:

    PORTC = (data & 0x0F)*16; // newmain.c:46: warning: (373) implicit signed to unsigned conversion

    PORTC = (data >> 4)*16; // newmain.c:54: warning: (373) implicit signed to unsigned conversion

    PORTC = (data & 0xF)*16; // newmain.c:57: warning: (373) implicit signed to unsigned conversion

    WriteByte(addLUT[row] + col); // newmain.c:65: warning: (373) implicit signed to unsigned conversion

    SetLCDPosition(LCD_Line+1,0);  // newmain.c:87: warning: (373) implicit signed to unsigned conversion

    WriteByte(i); // newmain.c:116: warning: (373) implicit signed to unsigned conversion

    ShowStr(b); // newmain.c:160: warning: (357) illegal conversion of integer to pointer

    ShowStr("BAD"); // newmain.c:172: warning: (359) illegal conversion between pointer types

 

Изменено пользователем Tulen

Flashback!

Ссылка на комментарий
Поделиться на другие сайты

Реклама: ООО ТД Промэлектроника, ИНН: 6659197470, Тел: 8 (800) 1000-321

1 час назад, Tulen сказал:

PORTC = (data & 0x0F)*16

для установки пинов используется регистр LAT или нет?

1 час назад, Tulen сказал:

WriteByte(addLUT[row] + col); // newmain.c:65: warning: (373) implicit signed to unsigned conversion

массив определен как целое беззнаковое , ячейку масива и смешение определяете как знаковое идет переопределение типов

Ссылка на комментарий
Поделиться на другие сайты

20% скидка на весь каталог электронных компонентов в ТМ Электроникс!

Акция "Лето ближе - цены ниже", успей сделать выгодные покупки!

Плюс весь апрель действует скидка 10% по промокоду APREL24 + 15% кэшбэк и бесплатная доставка!

Перейти на страницу акции

Реклама: ООО ТМ ЭЛЕКТРОНИКС, ИНН: 7806548420, info@tmelectronics.ru, +7(812)4094849

1. Насчет LAT - не знаю, т.к. при таком коде на экран заданная заранее строчка выдается, а вот содержимое переменной - нет.

2. Это как-то влияет на работу МК? Я могу это игнорировать? Если нет, то как устранить?

Flashback!

Ссылка на комментарий
Поделиться на другие сайты

Выбираем схему BMS для корректной работы литий-железофосфатных (LiFePO4) аккумуляторов

 Обязательным условием долгой и стабильной работы Li-FePO4-аккумуляторов, в том числе и производства EVE Energy, является применение специализированных BMS-микросхем. Литий-железофосфатные АКБ отличаются такими характеристиками, как высокая многократность циклов заряда-разряда, безопасность, возможность быстрой зарядки, устойчивость к буферному режиму работы и приемлемая стоимость. Но для этих АКБ, также как и для других, очень важен контроль процесса заряда и разряда, а специализированных микросхем для этого вида аккумуляторов не так много. Инженеры КОМПЭЛ подготовили список имеющихся микросхем и возможных решений от разных производителей. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

запись в порт по регистру Port происходит по принципу чтение-модификация-запись , по LAT на прямую.

игнорировать можете. задать соответствие переменным row & col... 

ЗЫ и вылечите хусым , для мышей он такой сыр в коде формирует...

Ссылка на комментарий
Поделиться на другие сайты

Спасибо, учту. Но вот только я не совсем понял, в этом проблема или нет?

 

Хусым - что это? О_о

Изменено пользователем Tulen

Flashback!

Ссылка на комментарий
Поделиться на другие сайты

Так и не получилось толком разобраться, как выводить нормально переменную.

Да и с задержками нифига не понял, как сделать так, чтобы МК сильно не задерживался, но при этом нормально отображал на экране строчку...

Flashback!

Ссылка на комментарий
Поделиться на другие сайты

здесь:

void ShowStr(unsigned char *s)
{
    while (*s != 0) ShowChar(*s++);
}

код ожидает указатель на  адрес переменной начала строки

вы же ему подсовываете 

1. значение регистра  ADRESH

    unsigned char b = ADRESH;
 .....
......
......
......
            ShowStr(b);

2. вместо указателя на переменную подсовываете указатель на константу

  ShowStr("BAD");

 

Ссылка на комментарий
Поделиться на другие сайты

1 час назад, Tulen сказал:

Так и не получилось толком разобраться, как выводить нормально переменную.

переменную нужно преобразовать из HEX в BCD записав в массив/буффер каждую цифру, к каждой цифре прибавить 0х30 получите код в ASCII , в конец добавить код окончания строки 0х00

buffer[8] = {0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF};

HEX = 0xF4

BCD = 244

buffer[8] = {0x02,0x04,0x04,0xFF,0xFF,0xFF,0xFF,0xFF};

ASCII

buffer[8] = {0x32,0x34,0x34,0x00,0xFF,0xFF,0xFF,0xFF};

Ссылка на комментарий
Поделиться на другие сайты

В 18.12.2017 в 00:20, IMXO сказал:

запись в порт по регистру Port происходит по принципу чтение-модификация-запись

PORTC = (data & 0x0F)*16;

И где здесь чтение-модификация-запись???

На форумах принято общаться на "ты", что не является оскорбительным и подразумевает равноправие

Ссылка на комментарий
Поделиться на другие сайты

@Vovka  любая запись в порт по регистру PORTx происходит по ЧМЗ , то что в данном случае модификация в виде присвоения , а не модификации отдельных бит, сути не меняет.  речь шла о разнице в работе регистров port & lat

Ссылка на комментарий
Поделиться на другие сайты

18 часов назад, IMXO сказал:

переменную нужно преобразовать из HEX в BCD записав в массив/буффер каждую цифру, к каждой цифре прибавить 0х30 получите код в ASCII , в конец добавить код окончания строки 0х00

Я сделал:

    Prepare();
    __delay_ms(1);

    InitLCD();

    char buf[10];
    char* p;
    int value = 51;
    int i = 0; 
    buf[0] = 5 + 0x30;
    buf[1] = 1 + 0x30;
    buf[2] = '\0';

    ClearLCD();
    ShowStr(p);    
    __delay_ms(100);

Но на экране почему-то появляется куча пятерок, но ни одной единицы. Где я ошибся?

И ещё, пользуясь случаем, след. вопросы по LCD:

1. Как правильно расставлять задержки? Я вот ставил при строчке Hello задержку после функции ShowStr в 500-1000 мс, тогда на дисплее значение держалось долго. Но это тормозит работу МК. Я где-то ошибся или это так и будет?

2. Если от таких задержек не избавится, то как, например, выводить на экран символ при нажатии клавиши? Путем прерываний? Как это отразится на дисплее? 

3*. Если я подключаю кнопки через АЦП, то для обработки дребезга программно нужно делать задержку на 10-30 мс и повторно запускать АЦП и сверять значения?

Flashback!

Ссылка на комментарий
Поделиться на другие сайты

В 19 Декабрь 2017 г. в 18:15, Tulen сказал:

Но на экране почему-то появляется куча пятерок, но ни одной единицы. Где я ошибся?

ну как где

р - указатель на адрес переменной, вопрос какой переменной?

те массив/буффер есть, его инициализация есть, а о том что р указатель на массив в коде ни слова...

1.2.  да, так и будет,  все задержки делаются через таймерами через прерывания

3. это правильное решение.

Ссылка на комментарий
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.

Гость
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Ответить в этой теме...

×   Вставлено с форматированием.   Восстановить форматирование

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу
  • Сообщения

    • Все предложенные к рассмотрению источники питания работают примерно по одному принципу: сетевое напряжение выпрямляется, фильтруется (получаем чуть больше 300 вольт постоянного), затем преобразуется снова в переменное, но уже на частотах в несколько десятков килогерц, понижается на трансформаторе и снова выпрямляется. За счёт высокой частоты преобразования используется трансформатор на ферритовом, а не на стальном, сердечнике, гораздо меньших габаритов и стоимости. Минусы: значительное усложнение схемы блока и вероятность возникновения различных помех от него. Модули управления (кроме первого) также являются импульными преобразователями, с теми же достоинствами и недостатками. Если нужно по быстрому собрать некое подобие ЛБП, то уж лучше брать модуль вроде этого. Ну и блок питания к нему соответствующий. Но не очень понятно, какой практический опыт можно получить от соединения готовых модулей парой проводов.  
    • У меня больше всего вопросов вызвала необычная схема обеспечения отрицательного питания. Автор этой обстоятельной заметки пишет: For this supply to work correctly, the transformer must have a secondary voltage of at least 18V RMS.  Почему? Что будет не так с отрицательным питанием, если напряжение на трансформаторе будет меньше 18В?   https://tinyurl.com/23mlwxtt - я в простейшей эмуляции ставлю 12В пикового напряжения для трансформатора и на стабилитроне все как положено: -5.6В.
    • Согласен, очень криво объяснил. Это работа трёх вольтовой линии, просто на диод шотки сдвоенный, на один анод приходит сигнал напрямую с трансформатора, а на второй через дроссель. Вольт/деление 5 вольт в клетке, тайминг по моему 10 МС. Третья фотография это сигнал на катодах уровень земли ровно по центру экрана. Но все линии по итогу в порядке 3.3 в, 5, в, 12 в и -12 в. Нагрузить все линии не могу сразу ,так как тут же выгорают транзисторы (имеется нагрузка 250 ватт по 10 ампер на каждую линию за исключением-12в), поэтому нагружаю 3.3 вольтовую линию на 10 ампер,  подключаю переменный резистор 50 ватт на 15 ом на 5 вольтовую линию и постепенно довожу до той той картины с перекосом (это гдето  50 ватт общее). По поводу микросхемы, вверху имеется скрин где между импульсами проскакивает мини импульс, если так можно сказать, он проскакивает и на одной  и на второй ноге (7,8). Микросхема не tl 494, а lw4933/abx942.1/c9421646. Далее они приходят на базы транзисторов 945g  коллекторы этих транзисторов соединены с  выводами трансформатора. Просто схема типовая, легче мне кажется просто привести фото самого блока, для тех кто разбирается будет гораздо информативне.  Диод шотки по 12 вольтовой линии был подгоревший, заменил на донора. Приводить скрины не буду что бы не захламлять тему. В итоге, пока все так же, при достижении определенной нагрузки суммарно где-то 50 ватт, появляется этот "выброс и перекос". По этому имеются мысли на два варианта, это микросхема , этот мини импульс между периодами, на низкой нагрузке особо не влияет, но при достижении определенной приводит с самовозбуждению входной цепи и непроизвольному открытию транзистора нижнего плеча. Либо дело в "горячей части", плавающий дефект в обвязке силовых ключей.  Спасибо за ответ.
    • @Gomerchik а вы контролировали как меняется уровень сигнала на А1 ардуины?
    • Спасибо за совет. Автором данного проекта я не являюсь, мне нужно было воссоздать уличный датчик для метеостанции взамен пропавшего(( Из разного найденного в интернете этот проект работает с моей станцией Орегон (спасибо автору). В понедельник попробую последовать Вашему совету. Но все равно куча непоняток  как блин это работает)) Если дело в неправильной отправки команды, то как на это влияет подключение датчика температуры? Если совсем не подключать таймер, то передача идет один раз (как и прописано в программе), станция принимает и отображает, но минут через сколько-то естественно станция уже ни чего не показывает, но с таймером питание полностью не пропадает с ардуинки, но передача сигнала каким-то образом работает по таймеру.  В моем понимании данная команда подается один раз потому, что таймер должен отключать питание МК после передачи сигнала и каждые 43 сек снова подавать питание (так того требует станция).  Ардуино передает показания температуры отключается полностью и 43 секунды мк не работает.  Сейчас у меня питание пока сделано на подпитке от солнечной батареи, но пару пасмурных дней и аккумулятор съедается до отключения(
    • thickman Так и сделаю. Вытащу из бу БП.  Буду знать, как отличить. Благодарю. Заменил транзисторы на IRFB20N50K. Картина стала, совсем другой.  Похоже трудность не в драйвере, на момент подвозбуда, переходные процессы, в нем, завершены. Увеличил затворные резисторы до 50ом, стало немного лучше.  Не понятно, почему верхний ключ греется несколько сильнее. Возможно, стоит посмотреть ток в коллекторе.  Снабберные емкости временно удалил, изменений не произошло.  Замена ТГР на другой, на кольце MSTN-16A-TH, так же, результата не принесла.   irfb20n50k.pdf
  • Похожий контент

×
×
  • Создать...