Перейти к содержанию

DMA + таймер. ШИМ из массива.


mr_smit

Рекомендуемые сообщения

Вынес то что не получается в упрощенной форме в отдельный проект. Среда разработки CooCox 1.7.8, микроконтроллер STM32F103C8T6.

Нужно раз в ~100 мсек формировать на ножке МК, например,такую последовательность:

1.thumb.PNG.bdb3a046fcb45b4872130a318ee2079c.PNG

Стартовую длительность формирует таймер, в первом же своем прерывании по совпадению активирует DMA и дальше уже DMA по запросу таймера загружает значение CCR из массива. Что то похожее на управление светодиодами WS2812B. То что я сочинил выдает на пин:

2.png.148f0b4f323031f20a94e39f2c6f3d35.png

Но только один раз при первом вызове. При последующих вызовах данные из массива выдаются без первоначальной длительности в 150 мкс.

Не могу найти ошибку. 

 

#include <stm32f10x.h>
#include <stm32f10x_conf.h>
#include <stm32f10x_gpio.h>
#include <stm32f10x_rcc.h>
#include <stm32f10x_tim.h>
#include <stm32f10x_dma.h>

GPIO_InitTypeDef         PIN;
TIM_TimeBaseInitTypeDef  TIM_Config;
TIM_OCInitTypeDef        TIM_OCConfig;
DMA_InitTypeDef          DMA_Setting;

uint8_t Test_Buf[] = {15,30,30,30,15};

void delay_ms(uint32_t ms) {
	volatile uint32_t nCount;
	RCC_ClocksTypeDef RCC_Clocks;
	RCC_GetClocksFreq (&RCC_Clocks);
    nCount = (RCC_Clocks.HCLK_Frequency/10000)*ms;
    for (; nCount != 0; nCount--);
}

void Init_GPIO(void) {

	RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA,ENABLE);

	PIN.GPIO_Pin =  GPIO_Pin_11;         // PA11 -> TIM1 Channel4
	PIN.GPIO_Mode = GPIO_Mode_AF_PP;
	PIN.GPIO_Speed = GPIO_Speed_50MHz;
	GPIO_Init(GPIOA, &PIN);
}

void Init_TIM_Transmit(void) {
	RCC_APB2PeriphClockCmd(RCC_APB2Periph_TIM1, ENABLE);

	TIM_TimeBaseStructInit(&TIM_Config);              // настройки по дефолту
	TIM_Config.TIM_Prescaler = 72-1;                  // Запускаем таймер на тактовой частоте 1 MHz (72000000/(72-1))
	TIM_Config.TIM_Period = 150-1;                    // Период - 150 мкс
	TIM_Config.TIM_ClockDivision = 0;                 // частоту дополнительно не делим
	TIM_Config.TIM_CounterMode = TIM_CounterMode_Up;  // считаем вверх
	TIM_TimeBaseInit(TIM1, &TIM_Config);              // Инициализируем TIM1

	TIM_OCStructInit(&TIM_OCConfig);                       // настройки по дефолту
	TIM_OCConfig.TIM_OCMode = TIM_OCMode_PWM1;             // Конфигурируем как ШИМ (выравнивание по границе)
	TIM_OCConfig.TIM_OutputState = TIM_OutputState_Enable; // Включаем выход
	TIM_OCConfig.TIM_Pulse = 0;                            // CCR до старта пока нулевой
	TIM_OCConfig.TIM_OCPolarity = TIM_OCPolarity_High;     // Полярность
	TIM_OCConfig.TIM_OCIdleState = TIM_OCIdleState_Reset;  // состояние выхода по совпадению CCR (сброс)

	TIM_OC4Init(TIM1, &TIM_OCConfig);                      // Инициализируем 4-й выход таймера, это PA11
	TIM_ARRPreloadConfig(TIM1,ENABLE);                     // Предзагрузка периода (ARR)
	TIM_OC4PreloadConfig(TIM1, TIM_OCPreload_Enable);      // Предзагрузка длины импульса CCR 4-го канала
                                                           // (даем досчитать до конца и только потом значение меняется на новое)
	TIM_DMACmd(TIM1,TIM_DMA_CC4,DISABLE);                  // выключаем пока запрос к DMA от таймера TIM1 по достижении CCR)

	TIM_CtrlPWMOutputs(TIM1, ENABLE);                      // включаем выходы (это только для TIM1)
	TIM_CCxCmd(TIM1,TIM_Channel_4,TIM_CCx_Enable);         // разрешаем таймеру управлять выводом PA11
	TIM_ITConfig(TIM1, TIM_IT_CC4, DISABLE);               // запрещаем пока таймеру генерировать прерывание по совпадению
    NVIC_EnableIRQ(TIM1_CC_IRQn);                          // разрешаем прерывания
	TIM_Cmd(TIM1, DISABLE);                                // Выключаем таймер (пока ждем)
}

void TIM1_CC_IRQHandler(void)                               // прошло 130 мкс
{
  if (TIM_GetITStatus(TIM1, TIM_IT_CC4) != RESET) {         // по совпадению
	TIM_ClearITPendingBit(TIM1,TIM_IT_CC4);                 // сбрасываем флаг прерывания TIM1 по совпадению
  }

  NVIC_EnableIRQ(TIM1_CC_IRQn);                             // выключаем прерывания от таймера
  TIM_ITConfig(TIM1, TIM_IT_CC4, DISABLE);                  //

  TIM1->ARR = 40-1;                                         // устанавливаем период 40 мкс
  TIM1->CCR4 = Test_Buf[0];                                 // ширину из массива для следующего импульса

  DMA1_Channel4->CNDTR = 4;                // длина данных для DMA на 1 меньше т.к. уже установили выше 1 элемент

  TIM_DMACmd(TIM1,TIM_DMA_CC4,ENABLE);     // разрешаем таймеру делать запрос к DMA по совпадению CCR
  DMA_Cmd(DMA1_Channel4, ENABLE);          // включаем DMA
}

void Init_DMA(void)
{
	RCC_AHBPeriphClockCmd(RCC_AHBPeriph_DMA1, ENABLE);                     // включаем тактирование DMA1

	DMA_Setting.DMA_PeripheralBaseAddr = (uint32_t) &TIM1->CCR4;           // куда копировать
	DMA_Setting.DMA_MemoryBaseAddr = (uint32_t) &Test_Buf[1];              // что копировать
	DMA_Setting.DMA_DIR = DMA_DIR_PeripheralDST;                           // копируем в периферию (Peripheral Destination, точка назначения - периферия)
	DMA_Setting.DMA_BufferSize = 0;                                        // количество передаваемых данных
	DMA_Setting.DMA_PeripheralInc = DMA_PeripheralInc_Disable;             // адрес периферии постоянный
	DMA_Setting.DMA_MemoryInc = DMA_MemoryInc_Enable;                      // адрес в памяти увеличиваем
	DMA_Setting.DMA_PeripheralDataSize = DMA_PeripheralDataSize_HalfWord;  // периферия 16 бит
	DMA_Setting.DMA_MemoryDataSize = DMA_MemoryDataSize_Byte;              // массив 8 бит
	DMA_Setting.DMA_Mode = DMA_Mode_Normal;                                // режим обычный
	DMA_Setting.DMA_Priority = DMA_Priority_Medium;                        // приоритет средний
	DMA_Setting.DMA_M2M = DMA_M2M_Disable;                                 // MemoryToMemory откл.

	DMA_Init(DMA1_Channel4, &DMA_Setting);             // TIM1_CH4 относится к 4-му каналу DMA1
    DMA_ITConfig(DMA1_Channel4, DMA_IT_TC, ENABLE);    // настраиваем прерывание по окончанию передачи
    NVIC_EnableIRQ(DMA1_Channel4_IRQn);                // включаем прерывания от 4-го канала DMA1
    DMA_Cmd(DMA1_Channel4, DISABLE);                   // пока выключаем 4-ый канал DMA1
}

void DMA1_Channel4_IRQHandler(void)                    // закончили передавать
{
	if (DMA_GetITStatus(DMA1_IT_TC4) != RESET) {       // по совпадению
		DMA_ClearITPendingBit(DMA1_IT_TC4);            // сбрасываем флаг прерывания DMA1 Channel4 transfer complete
		}
	if (TIM_GetITStatus(TIM1, TIM_IT_CC4) != RESET) {  // по совпадению
		TIM_ClearITPendingBit(TIM1,TIM_IT_CC4);        // сбрасываем флаг прерывания TIM1 на всякий случай
		}

	TIM1->ARR = 150-1;        // вновь настраиваем на период 150 мкс
	TIM1->CCR4 = 0;           // и ждем следующею передачу
	TIM1->CNT  = 0;           //

	TIM_DMACmd(TIM1,TIM_DMA_CC4,DISABLE);              // всё выключаем
	DMA_Cmd(DMA1_Channel4, DISABLE);                   //
	TIM_Cmd(TIM1, DISABLE);                            //
	TIM_ITConfig(TIM1, TIM_IT_CC4, DISABLE);           //

	TIM_CCxCmd(TIM1,TIM_Channel_4,TIM_CCx_Disable);
}

int main(void)
{
	Init_GPIO();
	Init_TIM_Transmit();
	Init_DMA();

	delay_ms(1000);

    while(1)
    {
    	TIM1->CCR4 = 130-1;                // до включения линия удерживается в 0 (CCR=0)
    	TIM_ITConfig(TIM1, TIM_IT_CC4, ENABLE);
    	TIM_CCxCmd(TIM1,TIM_Channel_4,TIM_CCx_Enable);
    	TIM_Cmd(TIM1, ENABLE);

    	delay_ms(100);
    }
}

 

TEST_TIM_DMA.zip

Ссылка на комментарий
Поделиться на другие сайты

Реклама: ООО ТД Промэлектроника, ИНН: 6659197470, Тел: 8 (800) 1000-321

20% скидка на весь каталог электронных компонентов в ТМ Электроникс!

Акция "Лето ближе - цены ниже", успей сделать выгодные покупки!

Плюс весь апрель действует скидка 10% по промокоду APREL24 + 15% кэшбэк и бесплатная доставка!

Перейти на страницу акции

Реклама: ООО ТМ ЭЛЕКТРОНИКС, ИНН: 7806548420, info@tmelectronics.ru, +7(812)4094849

Утро вечера мудренее. Сам разобрался. В процессе переехал на другой таймер, на нем и оставил. Прерывания таймера не используются. Полностью на DMA. На мой взгляд получилось красиво.

1_.PNG.fe127e5867da4244546da4c6f1c35f48.PNG

#define Imp_Length  130   // длина первого импульса
#define Imp_Period  150   // период первого импульса
                          // период остальных 40 мкс
                          // длины из массива

volatile uint8_t Start_Imp = 1;

static uint8_t Exp_Buf[7] = {Imp_Length,15,30,30,30,15,0};   // сам массив с длинами в мкс {15,30,30,30,15}
                                                             // нулевой элемент это длина первого импульса
                                                             // 0 в конце для DMA
...

void DMA1_Channel6_IRQHandler(void)                    
{
  DMA_ClearITPendingBit(DMA1_IT_TC6);

  if (Start_Imp != 0) {

	  Start_Imp =0;
	  TIM3->ARR = 40-1;           // период остальных импульсов 40 мкс (после первого импульса)

	  DMA_Cmd(DMA1_Channel6, DISABLE);
	  DMA1_Channel6->CMAR = (uint32_t) &Exp_Buf[2];
	  DMA1_Channel6->CNDTR = sizeof(Exp_Buf)-2;
	  DMA_Cmd(DMA1_Channel6, ENABLE);
  }
  else {
	  Start_Imp =1;

	  TIM_Cmd(TIM3, DISABLE);
	  DMA_Cmd(DMA1_Channel6, DISABLE);

	  TIM3->ARR = Imp_Period-1;

	  DMA1_Channel6->CMAR = (uint32_t) &Exp_Buf[0];
	  DMA1_Channel6->CNDTR = 2;
  }
}

int main(void)
{
	Init_GPIO();
	Init_DMA();
	Init_TIM_Transmit();

    while(1)
    {
    	delay_ms(200);

    	TIM3->CCER |= TIM_CCER_CC1E;

    	DMA_Cmd(DMA1_Channel6, ENABLE);
    	TIM_Cmd(TIM3, ENABLE);
    }
}

Ну и архив с исходником

TEST_TIM_DMA.rar

Ссылка на комментарий
Поделиться на другие сайты

  • 3 месяца спустя...

Выбираем схему BMS для корректной работы литий-железофосфатных (LiFePO4) аккумуляторов

 Обязательным условием долгой и стабильной работы Li-FePO4-аккумуляторов, в том числе и производства EVE Energy, является применение специализированных BMS-микросхем. Литий-железофосфатные АКБ отличаются такими характеристиками, как высокая многократность циклов заряда-разряда, безопасность, возможность быстрой зарядки, устойчивость к буферному режиму работы и приемлемая стоимость. Но для этих АКБ, также как и для других, очень важен контроль процесса заряда и разряда, а специализированных микросхем для этого вида аккумуляторов не так много. Инженеры КОМПЭЛ подготовили список имеющихся микросхем и возможных решений от разных производителей. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

В 05.04.2019 в 14:48, mr_smit сказал:

 На мой взгляд получилось красиво.

 

 

Увы это не так. Сплошные костыли .

Начни изучать таймеры как таковые ,а в частности DMA burst...

Ссылка на комментарий
Поделиться на другие сайты

  • 3 недели спустя...
11.07.2019 в 09:42, dosikus сказал:

Увы это не так. Сплошные костыли .

Начни изучать таймеры как таковые ,а в частности DMA burst...

Не вполне корректная рекомендация: DMA в линейке F1 не поддерживает режим burst.

Ссылка на комментарий
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.

Гость
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Ответить в этой теме...

×   Вставлено с форматированием.   Восстановить форматирование

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу
  • Сообщения

    • Шота дохрена. Это если мультик может на килогерце. Обычно нет, предел 400 Гц.
    • Да, сначала был написан бутлодер для тини 24. Там нет выделенной памяти под бутлодер и заморочек с областями откуда можно записывать и перезаписывать флеш из программы, там все просто! Также там нет УАРТА, пришлось написать. Ну и самое главное: перезаписывай любые страницы флеш из любого места! В тини 24 под бутлодер ушло 48% памяти. В проекте технологи выделили слишком мало физического места под схему. Потом развел плату, оказалось, что места не так уж и мало. Потом выяснилось, что пинов впритык, и если будет дальнейшее расширение, их может не хватить. И после долгих (ну очень долгих) изысканий (цена, доступность, размеры) остановился на 88 (очень низкая цена, корпус чуть больше чем у 24, датчик температуры, а это важно, но не критически). Да, и сейчас все программируют на С и др. языках высокого уровня, что занимает очень (ну очень) много места, и чипы с небольшой памятью остаются не востребованы, и как следствие низкий спрос, и низкая цена!!! И при тупом переносе программы перезаписи страниц флеш программа не заработала... Ну и пошли изыскания, и я набрел на ВАШ проект, самый полный и законченный на то время. Нужно было выяснить чип который был у меня битый или я что-то упустил при программировании? (в бутлодерах я новичек) Недостаток 88 на сегодня, то что из пользовательской памяти нельзя перепрограммировать флеш, хотя страница стирается.   По поводу ВАШЕЙ программы: выяснилось, что при включенном фьюзе WDT в конфигурации, соединение не устанавливается, а в хелпе это не отражено. В некоторых ситуациях соединение можно восстановить лишь отключив / включив питание, сброс через ножку ресет не помагает. Будем копать дальше,... и глубже....!   Иногда при "установить соединение" выдается сообщение, "соединение не установлено", а на осциллографе виден ответ с чипа?
    • Сейчас по входу стоит керамика 0,1 мкФ + электролит 220 мкФ, иголок вроде нет, ну во всяком случае старичек С1-93 их не показывает.
    • Платы материнки и УВ пока в брак.  - Семён Семёныч... (с) Вы ничего не забыли, сэр? Как вы собрались регулировать УВ по уровню выходного сигнала??? Решение уже есть, пока в процессе. Вот так оно лучше работает.
    • Ну в идеале каптоновым скотчем как вам сказали,но можно обмотать чем угодно лижбе диалектриком было и хотябы немного высокотемпературное,я бы термо усадку взял,поидеи хорошо пойдет  Ещё видел где трансформатор медно алюминиевой жилой был на ампер 10 если не больше на вид аж,и там алюминиевая обмотка сечением пол сантиметра была замотана в бумагу пропитанную в воске,слоем миллиметр примерно эта изоляция была 
    • Всем привет. Собрал мх50, запустился с первого раза, когда делал замеры, соскочил щуп, спалил выходники и пред, заменил, все ок. Питание тор 250 ватт 30х2 выход, после выпрямителя 44В 20000мкф в плечо  увеличил входные емкости на оконечниках, добавил шунтирующую пленку  плата софт старта присутсвует цепь буше взял из ОМ2.7 ТП выставил 100мА добавлена термозащита на каждый канал( но как оказалось, с таким корпусом хрен перегреешь) АС kef q150 dac Aiyima a5 max получилось снять 81 ватт с канала при 8ом нагрузке (на фото самое точное измерение на левом мультиметре) без сигнала если прислонится к ас еле уловимое шипение  при наличии сигнала все ок, играет отлично, мне очень понравилось. в планах ОМ2.7
    • Уже 52 скачивания. Значит актуальна.
  • Похожий контент

×
×
  • Создать...