Перейти к содержанию

Декодирование Хекса


Рекомендуемые сообщения

Реклама: ООО ТД Промэлектроника, ИНН: 6659197470, Тел: 8 (800) 1000-321

Где бы почитать нормальный мануал под МПЛаб?

А может можно с помощью другой программы средактировать и скомпилировать? Или обязательно МПЛаб теперь надо освоить?

Слава антисионистам Владимиру Путину и Владимиру Квачкову!

Ссылка на комментарий
Поделиться на другие сайты

20% скидка на весь каталог электронных компонентов в ТМ Электроникс!

Акция "Лето ближе - цены ниже", успей сделать выгодные покупки!

Плюс весь апрель действует скидка 10% по промокоду APREL24 + 15% кэшбэк и бесплатная доставка!

Перейти на страницу акции

Реклама: ООО ТМ ЭЛЕКТРОНИКС, ИНН: 7806548420, info@tmelectronics.ru, +7(812)4094849

обязательно ли хлеб резать ножиком?

нет, можно пальцем, но ножиком практичнее :)

Марк, а киньте, пожалуйста, фрагмент.

я прошу не с целью "пошвыряться перчатками", а скорее ищу "место для удара головой" (с) Задорнов о маршрутках

т.е. просто из любопытства.

и чтобы не оставлять висящим вопрос "ну как там дела", заранее отвечу "не получилось" с легким сердцем :)

Ссылка на комментарий
Поделиться на другие сайты

Выбираем схему BMS для заряда литий-железофосфатных (LiFePO4) аккумуляторов

Обязательным условием долгой и стабильной работы Li-FePO4-аккумуляторов, в том числе и производства EVE Energy, является применение специализированных BMS-микросхем. Литий-железофосфатные АКБ отличаются такими характеристиками, как высокая многократность циклов заряда-разряда, безопасность, возможность быстрой зарядки, устойчивость к буферному режиму работы и приемлемая стоимость. Но для этих АКБ очень важен контроль процесса заряда и разряда для избегания воздействия внешнего зарядного напряжения после достижения 100% заряда. Инженеры КОМПЭЛ подготовили список таких решений от разных производителей. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

lc433, в журнале Радио был цикл статей А. Долгий, "Разработка и отладка устройств на МК". Правда с тех пор много воды утекло.

mil_alex, если что-то получится, можете хотя-бы в этой теме или в новой, или в блоге, или еще где пару строчек по реверс-инжинирингу на этом примере черкануть?

Ссылка на комментарий
Поделиться на другие сайты

В моей жизни свершился величайший праздник! Я скомпилировал первый хекс!

Проблема была в MPLAB 8.6 версии. Не ставьте версию 8.6, она глючит конкретно. Приходилось даже с помощью "Диспетчера процессов" удалять её из памяти, но и это не помогало полностью. Но не стоит об этом. Вот список бесплатных для скачивания версий: Ссылка MPLAB

Суть в том, что я скомпилировал исходник и даже записал его в МК. Но уже на этом этапе возникло несколько вопросов. Даже не думал, что отремонтировать частотометр так сложно.

Слава антисионистам Владимиру Путину и Владимиру Квачкову!

Ссылка на комментарий
Поделиться на другие сайты

:lol: :lol: :lol:

Скромнее нужно быть..., любезный lc433.

Все версии МПЛАБа всегда были были бесплатными.

Скачивать нужно только последнюю текущую версию. Значит на сегодня 8.56

Насчет глючности - это про Вас. Версии среды отличаются поддержкой новых контроллеров и фич среды. Никаких глюков уровня ассемблера и интегрированных Си компиляторов никогда не было.

Вы бы лучше скачали мануал на том же сайте по МПЛАБу и по MPASM и тихо изучали, периодически выясняя непонятное тут или на микрочип.су

А делать выводы и оценки отложите до лучших времен, когда хоть что то сделаете сами...

戦う前に相手のベルトの色に注目

Ссылка на комментарий
Поделиться на другие сайты

Вообще-то мне нужно в памяти частоту поменять и только... Не знаете, что за ошибка вот эта:

Error[115] C:\FREQ MPLAB\COUNTER-004.ASM 57 : Duplicate label ("DISP_VARIANT" or redefining symbol that cannot be redefined)

Вроде понятно, там что-то между "нельзя пить Боржоми, лёжа в гробу" и "слишком поздно пить Боржоми". А откуда это взялось, если я ничего не менял в тексте, только макрос добавил?

Слава антисионистам Владимиру Путину и Владимиру Квачкову!

Ссылка на комментарий
Поделиться на другие сайты

Ошибка [115] C:\FREQ MPLAB\COUNTER-004.ASM 57 Повторющаяся метка "DISP_VARIANT" или объявление символа(переменной, константы и т.д.), который не может быть изменен

Ссылка на комментарий
Поделиться на другие сайты

Есть контакт!

post-35482-0-32943800-1291126795_thumb.jpg

Частотометр уже показывает в меню выбора промежуточной частоты новую введённую частоту 6.5 мГц!

Огромное спасибо всем за помощь!!!

Осталось только найти и понять причину, почему он не хочет вычитать её или складывать, а с другими частотами (465 кГц и еще парочка) работает нормально. Можно конечно пойти лёгким путем, просто заменить ненужную "работающую" частоту на нужную мне и проблема решена. Но я решил пойти до конца. Надо один раз довести до ума этот прибор.

Кстати, вот получается, что EEPROM в МК - это тоже самое, что раздел Data в окне Winpic. Почему они не написали как положено? Благо автор дает обширные комментарии почти по каждой строчке в асм, только благодаря этому я понял что к чему.

Вообще, МК такая хитрая и интересная штуковина. Было бы неплохо самому уметь создавать разные устройства и программы для них...

Слава антисионистам Владимиру Путину и Владимиру Квачкову!

Ссылка на комментарий
Поделиться на другие сайты

обязательно ли хлеб резать ножиком?

нет, можно пальцем, но ножиком практичнее :)

Марк, а киньте, пожалуйста, фрагмент.

я прошу не с целью "пошвыряться перчатками", а скорее ищу "место для удара головой" (с) Задорнов о маршрутках

т.е. просто из любопытства.

и чтобы не оставлять висящим вопрос "ну как там дела", заранее отвечу "не получилось" с легким сердцем :)

Завтра с утра. Прошивка для дикриминатора радиочастотной противокражной системы. Написана на АСМе. Всего два входа. И четыре выхода на светодиоды. Контроллер PIC18F252.

Нужно увеличить вдвое постоянную времени дискриминатора. Прошивка миниатюрная.

Как вариант, могу дать скомпилированный на Си термометр. Под 16-ый ПИК. Скажем нужно вставить ограничение измерений до 30 градусов. Типа тест...

戦う前に相手のベルトの色に注目

Ссылка на комментарий
Поделиться на другие сайты

Вот интересено, что вы думаете по этому поводу. Появилась идея сделать измеритель индуктивности на МК. Алгоритм такой:

1. Емкость генератора известна и запрограммирована

2. Ставим катушку и генератор начинает работать

3. МК мерит частоту генератора и с учетом известной емкости рассчитывает индуктивность

4. МК отбражает индуктивность в децималах на ЖКИ

Катушки он у меня будет мерить от 0.0001 мкГ до 10 мкГ, поскольку я занимаюсь построением ФМ приемников, то это мой диапазон индуктивностей.

Как вы думаете, реально ли это? Какая будет погрешность такого прибора?

Слава антисионистам Владимиру Путину и Владимиру Квачкову!

Ссылка на комментарий
Поделиться на другие сайты

опять велосипед изобретаешь? На просторах интернета много таких схем. Но есть одна маленькая деталь, где ты возьмешь образцовую емкость?

Есть другой способ измерения - на фиксированной частоте, это более универсальный - можно измерять как емкость так и индуктивность без переключений, измерить сразу активное сопротивление индуктивности и ESR для емкости. При этом диапазон измерения величин шире чем при использовании колебательного контура. Метод основан на измерении величины тока и сдвига фазы тока относительно напряжения при подаче в измеряемую цепь чистого синусоидального сигнала - обычно выбирают 100гц или 1000гц.

Учение - изучение правил. Опыт - изучение исключений.

Ссылка на комментарий
Поделиться на другие сайты

А как рассчитать активное сопротивление исходя из тока в измеряемой катушке? Вы наверно имеете в виду комплексное, из которого вычитается реактивное?

Слава антисионистам Владимиру Путину и Владимиру Квачкову!

Ссылка на комментарий
Поделиться на другие сайты

Вот краткое описание принципа измерения:

В основе работы прибора лежит метод вольтметра и амперметра, т.е. измеряется падение

напряжения на тестируемом элементе и ток через него, а Zx рассчитывается как Zx=U/I.

Разумеется, значения тока и напряжения надо получить в комплексном виде. Для измерения

реальной (Re) и мнимой (Im) составляющих напряжения и тока используется синхронный

детектор (СД), работа которого в свою очередь синхронизирована с тестовым сигналом. Подавая

на управление ключами СД меандр с сдвигом 0º или 90º относительно тестового сигнала,

получаем искомые Re и Im части напряжения и тока. Таким образом, для одного измерения Zx

необходимо выполнить четыре измерения, два для тока и два для напряжения. Преобразованием

сигнала с СД в цифровую форму занимается АЦП двойного интегрирования. Выбор такого типа АЦП обусловлен его низкой чувствительностью к помехам, и тем, что интегратор АЦП играет

роль дополнительного фильтра сигнала после СД.

Изменено пользователем Alexeyslav

Учение - изучение правил. Опыт - изучение исключений.

Ссылка на комментарий
Поделиться на другие сайты

Катушки он у меня будет мерить от 0.0001 мкГ до 10 мкГ, поскольку я занимаюсь построением ФМ приемников, то это мой диапазон индуктивностей.

Как вы думаете, реально ли это? Какая будет погрешность такого прибора?

а как выглядит катушка с индуктивностью 1 нГ ?

ответив на этот вопрос, вы поймете, какая будет погрешность прибора.

встречный вопрос, как измерить частоту порядка 70 МГц с помощью МК с тактовой частотой порядка 10-15 МГц :)

Марк ммм... тук-тук-тук ?

Ссылка на комментарий
Поделиться на другие сайты

Сорри, я дико извиняюсь за задержку... Все помню. Загрузка на работе под завязку. Я даже форум почти не читаю.

Изменено пользователем my504

戦う前に相手のベルトの色に注目

Ссылка на комментарий
Поделиться на другие сайты

как измерить частоту порядка 70 МГц с помощью МК с тактовой частотой порядка 10-15 МГц
Да хоть с частотой 1Мгц. Аппаратными средствами МК. Таймер с внешним тактированием Вам в помощь :)
Ссылка на комментарий
Поделиться на другие сайты

70мгц не потянет, надо поделить хотябы на 8 при помощи микросхемы LB3500 а дальше можно подавать на внешний вход таймера контроллера.

Учение - изучение правил. Опыт - изучение исключений.

Ссылка на комментарий
Поделиться на другие сайты

............Марк ммм... тук-тук-тук ?

Обещанное.

Имеется хекс. Имеется фрагмент исходного листинга с конфигом и назначением ног.

Данное устройство предназначено для выделения отклика метки-контура на фоне помех.

Т.е. с помощью катушки в ближней зоне создается магнитное поле частотой 7...9 МГц с частотной модуляцией по синусоидальному закону. Частота модуляции 150 Гц.

В приемной катушке находящейся на некотором расстоянии от передающей принимается отклик от колебательного контура, он находится в небольшом футляре или напечатан на самоклейке (это противокражная метка-тег), как только этот контур попадет в зону действия антенн-катушек.

Этот отклик детектируется аналоговой частью приемника и поступает на вход данного контроллера.

Задачей МК является очистить сигнал от помех (рядом расположенных таких же устройств, а также сигналов противодействия системе), и при превышении сигналом некоего установленного порога (константы в программе) зажечь светодиод аларма. Кроме того, МК индицирует на четырехсветодиодной шкале текущий уровень сигнала (отфильтрованного).

Алгоритм выделения сигнала - статистический анализ. Сигнал стабилен от периода к периоду свиппирования частоты, а помеха либо скачет по амплитуде, либо по частоте отклика.

Вход синхронизации - это синхронный частоте модуляции меандр 150 Гц.

Вход бланкирования - это запрет на детектирование при искажении синхронизации (обеспечивается аппаратно).

Задача.

Уменьшить втрое окно обнаружения (статистический диапазон сигнала).

Ну или указать на хексе константу соответствующую этому окну.

Код очень короткий и написан на АСМе. Поэтому задача в общем выполнима. Но интересно сколько на это уйдет времени.

На написание кода ушло три рабочих дня, включая все издержки (пайку макета и проведение испытаний ).

Это устройство являлось алгоритмическим прототипом реальной системы. Проверкой идеи.

Удачи! Я болею за Вас.

Приложение:

:020000040000FA
:0600000000001AEF00F001
:08000800C336C43401E2E86CC8
:10001000E66E056E000E026203D0DF6A400EDB6AF8
:100020000550DF26400E01E3DB2AD92A9E9C1100F1
:10003000E652FCD7C00EF26EF16AF06A9D6AA06AC1
:10004000D09E9268F00E936E900E946E8B6A800E26
:10005000CD6E800EB16EBC6AF50EBB6E810EC26EA7
:100060004E0EC16E050EDA6EEA6AFF0EE96E7F0E65
:10007000ED6AE962FDD7EF6E036A026A80B8FED7C7
:1000800080A8FED7019050EC00F080B8FED7018028
:1000900050EC00F0022A080E0262F2D780EF00F066
:1000A0000250400DF3CFE1FFF4CFE2FFE22A020E4F
:1000B00001B0E226D96A800E01B0D926BD6ACF6AA6
:1000C000CE6ACD800B0EBA6E9D8CD9503F0BFDE0F1
:1000D000D9503F0BFDE19D9CCD90BA6A1200F926E4
:1000E000000C000C010C030C030C070C070C0F0C8C
:1000F0000F0C0F0C0F0C0F0C0F0C0F0C0F0C0F0C28
:100100000190006AD96A800E01B0D926E26AE22A1B
:10011000020E01B0E226E2CF08F0D9EC00F0080EA2
:10012000066ED9CFE1FF08C0E2FFE750045C02E7AA
:100130000182E86C076E320E0760D1EC00F0400ED1
:10014000E126E86AE222062EF0D7D9507F0B800F15
:1001500001B0400FE96E400EDB32DF32DB32DF32BE
:10016000DB32DF32EF50DF5C0064006E076E400E62
:1001700007C0DBFFD92AD9503F0BCFE1017001B096
:10018000C1D70050F00BE840E840E8400F0B6FEC9F
:1001900000F08A6A8A6E8B9A500E006401D08B8AB6
:1001A0006CD701B2076C0750DF26400ED8A0DB06E3
:1001B0001200DF50046E400EDB50066E0632043231
:1001C00006320432063204321200800EE96ED96A19
:1001D000EECFDEFFD950400B01E1FAD7800ED96E89
:0E01E000EECFDEFFD95001E0FBD7036A12001C
:020000040030CA
:0300010026000EC8
:02000500018177
:060008000FC00FE00F40E5
:00000001FF

;*** ОПРЕДЕЛЕНИЕ ПРОЦЕССОРА ***************************************************
LIST P=18F252
#include <P18F252.INC>
;******************************************************************************
; AN0 - вход сигнала
; RA2 - вход бланка (0 - запрет обновления данных)
; RA4 - вход синхронизации
; RC5 - выход на светодиод аларма
; RB0...RB3 - выходы на светодиоды шкалы уровня сигнала
;*** БИТЫ КОНФИГУРАЦИИ ********************************************************
;CONFIG1H
CONFIG OSCS = OFF, OSC = HSPLL
;CONFIG2L
CONFIG BOR = OFF, BORV = 45, PWRT = ON
;CONFIG2H
CONFIG WDT = OFF, WDTPS = 128
;CONFIG3H
CONFIG CCP2MUX = ON
;CONFIG4L
CONFIG STVR = ON, LVP = OFF, DEBUG = OFF
;CONFIG5L
CONFIG CP0 = OFF, CP1 = OFF, CP2 = OFF, CP3 = OFF 
;CONFIG5H
CONFIG CPB = OFF, CPD = OFF
;CONFIG6L
CONFIG WRT0 = OFF, WRT1 = OFF, WRT2 = OFF, WRT3 = OFF 
;CONFIG6H
CONFIG WRTC = OFF, WRTB = OFF, WRTD = OFF
;CONFIG7L
CONFIG EBTR0 = OFF, EBTR1 = OFF, EBTR2 = OFF, EBTR3 = OFF
;CONFIG7H
CONFIG EBTRB = OFF
;*** ОПРЕДЕЛЕНИЕ ПЕРЕМЕННЫХ И КОНСТАНТ ****************************************

Изменено пользователем my504

戦う前に相手のベルトの色に注目

Ссылка на комментарий
Поделиться на другие сайты

ммм... результаты.

сразу могу сказать, что не получилось, но может быть если потратить больше времени, то что-то и созреет.

могу поменять порог срабатывания светодиода, но это примитивно и не имеет отношения к надежности распознавания сигнала.

примерно половину времени занял поиск адекватного дизассемблера, в ходе которого нашел баг в gpdasm из gputils, хотя пик и заявлен в его списке поддерживаемых.

из наугад скачанных и потыканных - более-менее сгодился picdis18 - написанный на python.

в нем тоже нашел ошибку - подразумевается, что в прошивке все строки содержат четное количество байт. что для области данных не выполняется, дополнил .hex двумя нулями, после чего получилось дизассемблировать.

что касается задачи, я бы наверное попытался решать ее следующим образом.

раз у нас ЧМ синхронизирована с опорным сигналом, можно через равные промежутки времени замерять мгновенное значение принимаемого сигнала (или период, не думал подробнее) и либо строить гистограмму, подсчитывая количество совпадающих измерений, либо "рисовать" в двумерном массиве как бы график той модулирующей синусоиды.

учитывая, что замеры синхронизированы относительно каждого начала цикла модуляции, то и "график" будет попадать примерно в одни и те же точки, за исключением помех.

с другой стороны, если усреднять даже сложением и последующим делением на количество отсчетов, то помеха мне кажется тоже "растворится" среди практически совпадающих последовательных замерах полезного сигнала.

у меня есть ощущение, что нечто подобное в прошивке происходит, но сидеть с карандашиком и эмулировать пик - интересно, но времени все же более жалко :) поэтому я сдаюсь.

даташит впевые читал вчера вечером :)

;Generated by PICDIS18, Claudiu Chiculita, 2003.  http://www.ac.ugal.ro/staff/ckiku/software
	;Select your processor
	LIST      P=18F252		; modify this
	#include "p18f252.inc"		; and this

	__CONFIG 300000h, 26h
	__CONFIG 300002h, 0C80Eh
	__CONFIG 300004h, 8101h
	__CONFIG 300008h, 0C00Fh
	__CONFIG 30000Ah, 0E00Fh
	__CONFIG 30000Ch, 400Fh


	ORG 0
	nop
	goto	_start
	ORG 8

interrupt handler
	rlcf	ADRESL
	rlcf	ADRESH,W
	bc		p___10
	negf	WREG

p___10	movwf	POSTINC1				; entry from: 0Ch
	movwf	5
	movlw	0
	cpfseq	2
	bra		p___20
	clrf	INDF2
	movlw	40h
	clrf	PLUSW2

p___20	movf	5,W						; entry from: 18h
	addwf	INDF2
	movlw	40h
	bnc		p___2A
	incf	PLUSW2

p___2A	incf	FSR2L					; entry from: 26h

p___2C		bcf	PIR1,6		; CCP1IF TMR2IF entry from: 32h
	retfie	FAST

interrupt handler
	movf	POSTINC1
	bra		p___2C

_start		movlw	0C0h					; entry from: 2
	movwf	INTCON
	clrf	INTCON2
	clrf	INTCON3
	clrf	PIE1
	clrf	PIE2
	bcf		RCON,7
	setf	TRISA
	movlw	0F0h
	movwf	TRISB

	movlw	90h
	movwf	TRISC
	clrf	LATC

	movlw	80h   	; enable 16-bit RW
	movwf	T1CON
	movlw	80h
	movwf	T3CON

	clrf	CCPR2H
	movlw	0F5h
	movwf	CCPR2L

	movlw	81h
	movwf	ADCON0	; 1000 0001 FOSC/64, AN0, 1 = A/D converter module is powered up

	movlw	4Eh
	movwf	ADCON1

	movlw	5
	movwf	FSR2H

	clrf	FSR0H
	movlw	0FFh
	movwf	FSR0L
	movlw	7Fh	; чистка массива 80-FF

p___70		clrf	POSTDEC0				; entry from: 74h
	cpfseq	FSR0L
	bra		p___70

	movwf	INDF0
	clrf	3

p___7A		clrf	2						; entry from: 1A0h

p___7C		btfsc	PORTA,4		; wait for sync start
	bra		p___7C


p___80		btfss	PORTA,4		; wait for sync end
	bra		p___80
	bcf		1,0
	call	p___A0

p___8A		btfsc	PORTA,4
	bra		p___8A
	bsf		1,0
	call	p___A0
	incf	2

	movlw	8	; repeat 8 times
	cpfseq	2
	bra		p___80
	goto	p__100


p___A0		movf	2,W						; entry from: 86h,90h
	mullw	40h
	movff	PRODL,FSR1L
	movff	PRODH,FSR1H
	incf	FSR1H
	movlw	2
	btfsc	1,0
	addwf	FSR1H
	clrf	FSR2L
	movlw	80h
	btfsc	1,0
	addwf	FSR2L
	clrf	CCP1CON
	clrf	TMR1H
	clrf	TMR1L
	bsf		T1CON,0
	movlw	0Bh	; 1011b = Compare mode,
	;	Trigger special event (CCPIF bit is set)
	movwf	CCP2CON
	bsf		PIE1,6

p___CA		movf	FSR2L,W					; entry from: 0CEh
	andlw	3Fh
	bz		p___CA

p___D0		movf	FSR2L,W					; entry from: 0D4h
	andlw	3Fh
	bnz		p___D0
	bcf		PIE1,6
	bcf		T1CON,0
	clrf	CCP2CON
	return	

LED_level		addwf	PCL						; entry from: 18Eh
	retlw	0
	retlw	0
	retlw	1
	retlw	3
	retlw	3
	retlw	7
	retlw	7
	retlw	0Fh
	retlw	0Fh
	retlw	0Fh
	retlw	0Fh
	retlw	0Fh
	retlw	0Fh
	retlw	0Fh
	retlw	0Fh
	retlw	0Fh

p__100	bcf		1,0						; entry from: 9Ch
	clrf	0

p__104	clrf	FSR2L					; entry from: 180h
	movlw	80h
	btfsc	1,0
	addwf	FSR2L
	clrf	FSR1H
	incf	FSR1H
	movlw	2
	btfsc	1,0
	addwf	FSR1H
	movff	FSR1H,8

p__11A	call	p__1B2					; entry from: 17Ah
	movlw	8
	movwf	6
	movff	FSR2L,FSR1L
	movff	8,FSR1H

p__12A	movf	INDF1,W					; entry from: 148h
	subwf	4,W
	bnn		p__134
	bsf		1,1
	negf	WREG

p__134	movwf	7						; entry from: 12Eh
	movlw	32h
	cpfslt	7
	call	p__1A2
	movlw	40h
	addwf	FSR1L
	clrf	WREG
	addwfc	FSR1H
	decfsz	6
	bra		p__12A
	movf	FSR2L,W
	andlw	7Fh
	addlw	80h
	btfsc	1,0
	addlw	40h
	movwf	FSR0L
	movlw	40h
	rrcf	PLUSW2
	rrcf	INDF2
	rrcf	PLUSW2
	rrcf	INDF2
	rrcf	PLUSW2
	rrcf	INDF2
	movf	INDF0,W
	subwf	INDF2,W
	cpfsgt	0
	movwf	0
	movwf	7
	movlw	40h
	movff	7,PLUSW2
	incf	FSR2L
	movf	FSR2L,W
	andlw	3Fh
	bnz		p__11A
	btg		1,0
	btfsc	1,0
	bra		p__104

	movf	0,W	; [0] = signal level
	andlw	0F0h
	rrncf	WREG,W
	rrncf	WREG,W
	rrncf	WREG,W
	andlw	0Fh
	call	LED_level	; UV-meter
	clrf	LATB
	movwf	LATB

	bcf		LATC,5	; alarm
	movlw	50h
	cpfsgt	0  		; if [0] > 50h
	bra		p__1A0
	bsf		LATC,5	; alarm

p__1A0	bra		p___7A					; entry from: 19Ch

p__1A2		btfsc	1,1						; entry from: 13Ah
	negf	7
	movf	7,W
	addwf	INDF2
	movlw	40h
	btfss	STATUS,0
	decf	PLUSW2
	return	

p__1B2		movf	INDF2,W					; entry from: 11Ah
	movwf	4
	movlw	40h
	movf	PLUSW2,W
	movwf	6
	rrcf	6
	rrcf	4
	rrcf	6
	rrcf	4
	rrcf	6
	rrcf	4
	return	

	movlw	80h
	movwf	FSR0L
	clrf	FSR2L

p__1D0	movff	POSTINC0,POSTINC2		; entry from: 1DAh
	movf	FSR2L,W
	andlw	40h
	bnz		p__1DC
	bra		p__1D0

p__1DC	movlw	80h						; entry from: 1D8h
	movwf	FSR2L

p__1E0	movff	POSTINC0,POSTINC2		; entry from: 1E8h
	movf	FSR2L,W
	bz		p__1EA
	bra		p__1E0

p__1EA	clrf	3						; entry from: 1E6h
	return	
END

Ссылка на комментарий
Поделиться на другие сайты

Объясните мне с адресами. Что-то ВинПик странные адреса выдает, например 0х2100. А в МК таких адресов нет, там другого формата. Как это понимать, что разные адреса? И мало памяти, по паспорту больше. Не видит что ли? Или простой программатор не читает все адреса?

(Что-то я запутался. Придется еще один исходный файл у автора просить, этот больше не работает - то пустой экран, то хаотичное помаргивание сегментов индикатора...)

Изменено пользователем lc433

Слава антисионистам Владимиру Путину и Владимиру Квачкову!

Ссылка на комментарий
Поделиться на другие сайты

А можно по подробнее ?

Типа, я сделал то-то (выложил скрин), меня смутило то-то (снова скрин) и т.д... Какие адреса ? Где ? Вы о чём ?

например 0х2100
Чем Вам не адрес ?
Ссылка на комментарий
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы публикуете как гость. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.

Гость
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Ответить в этой теме...

×   Вставлено с форматированием.   Восстановить форматирование

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу

  • Сообщения

    • Не совсем так. Батарейка в ПЛК - весьма распространенное явление, причем нередко в ПЛК отсутствует карта памяти или иное хранилище рабочей программы, в результате чего после исчерпания батарейкой своего ресурса станок уходит в небытие. В этом плане приятен omron - в части его ПЛК рабочая программа хранится и в SRAM, и на карте, а пользователь с помощью микрика может выбирать откуда ему загружаться. 
    • сила притяжения, развиваемая соленоидом прямо зависит от тока. Если постоянно не нужна максимальная сила притяжения, то можно при помощи ШИМ уменьшить ток соленоида. Но это не точно ))
    • @READART Конкретно с LOGO не сталкивался, но у всех других, с кем сталкивался, программа всегда лежала во флеше. Да и хранить именно програмный код в энегозависимой памяти просто нелогично. Ионистор, скорее, может использоваться для отработки отключения питания, что-бы программа штатно могла завершиться, сохранив свое состояние.
    • А тема офигенно интересная. Рассуждать логически, в варианте А в среднем проводнике тока вообще практически нет и он нафиг не нужен. Во втором варианте по этим двум проводникам фигачит полный ток туда и обратно. Если хорошо свить, то по помехам одно...нно, только омические потери.  Дальше однозначного понимания у меня тоже нет. Я не выдающийся математик, мне нужен эксперимент. Например попробовать разорвать средний провод. Посмотреть куда подключены остальные цепи. Возможно у БЖТ асимметрия. Посмотреть как идут крайние провода обмотки, возможно раздвоенный средний компенсировал помеху от крайних.  ......  Не надо в подушку плакать, просто не нужно лезть с сегнетоэлектрикой в (калашный) звуковой ряд. Только не забывать про тоненькие дорожки к ним на ПП, если вдруг их приходится делать, или дилетанты наделали. А так да, отсутствие выводов большой плюс. Особенно когда занимаешься полосковой технологией.
    • Да схема самая обычная, без всяких пиэфси . Вот примерная.  Но блочок все равно не заработал, при превышении нагрузки 50 ватт происходит перекос плечей и выброс. В одной клетке 50 вольт. Получается на одном плече 200 на другом 150.
    • @1960sae "Собирал когда то такой конструктор, запустился сразу....". блин. не могу управится с ним. все проверил. нашел пробитый конденсатор, поменял. питание от адаптера сделал 7,3в. регулятор четко 5,05 в держит. на ногах пика питание есть, на всех ногах тоже какая то напруга есть. ниче не греется, транзы холодные. но не работает и все. когда щупами проверял по выводам пика моргнули индикаторы один раз и все. осцилла нет. ума не приложу, интернет рою. пока нифига не смог победить. что смущает: по схеме (я выше здесь выложил) 8 резисторов (на индикаторы идут) по 220 ом, а в наборе они по 1 кОм (я их впаял).  может по прошивке другая частота запуска прописана, может с кварцем что не так. опыта не хватает, конечно, сообразить, куда рыть. буду перебором
×
×
  • Создать...