Перейти к содержанию

Не Работает Хелловолдный Сумматор. Почему?


arcer

Рекомендуемые сообщения

Здравствуйте!

В качестве хелловорлда написал проектик-сумматор.

Суть его в том, что на:

1) сигнал reset - зануляются счетчики.

2) сигнал clc - происходит заполнение 10-елементного массива интов извне. По окончанию заполнения считается ксор всех бит и выводится.

`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 15:02:32 01/04/2011
// Design Name:
// Module Name: mod
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////

module mod(
input [0:0] reset,
input [0:0] clc,
input [0:31] in,
output reg [0:0] out
);
reg[0:31] array[0:9];
reg[0:31] counter;
reg[0:31] i;

task summator; // процедура суммирования
begin
for(i=1;i<10;i=i+1)array[0]=array[0]^array[i];
array[0][0:15]=array[0][0:15]^array[0][16:31];
array[0][0:7]=array[0][0:7]^array[0][8:15];
array[0][0:3]=array[0][0:3]^array[0][4:7];
array[0][0:1]=array[0][0:1]^array[0][2:3];
array[0][0:0]=array[0][0:0]^array[0][1:1];
end
endtask


always @(posedge reset) counter=0; //зануление счетчика массива

always @(posedge clc)
begin
if(counter>=10)
begin
// суммирование, если елементы массива закончились
summator();
out=array[0][0:0];
end
else
begin
// заполнение массива в противном случае
array[counter]=in;
counter=counter+1;
end

еnd
endmodule

Ах да, среда разаработки ISE WebPack 12.

Ошибка выдается:

ERROR:Map:116 - The design is empty. No processing will be done.

ERROR:Map:52 - Problem encountered processing RPMs.

Посдкажите, пожалуйста, что я делал неправильно и что нужно переделать.

Ссылка на комментарий
Поделиться на другие сайты

  • 2 месяца спустя...

У Вас присутствует множественное использование регистра counter. Обнулять регистр counter надо в том же процессе в котором инкриментируется.

always @(posedge clc or posedge reset) begin
if(reset) counter='h0;
else begin
if(counter>=10) begin
// суммирование, если елементы массива закончились
 summator();
 out=array[0][0:0];
end
else begin
// заполнение массива в противном случае
array[counter]=in;
counter=counter+1;
end
end

Ссылка на комментарий
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.

Гость
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Ответить в этой теме...

×   Вставлено с форматированием.   Восстановить форматирование

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу
×
×
  • Создать...