Перейти к содержанию

g614380

Members
  • Постов

    47
  • Зарегистрирован

  • Посещение

Контакты

  • ICQ
    599053938

Посетители профиля

Блок последних пользователей отключён и не показывается другим пользователям.

Достижения g614380

Стажер

Стажер (3/14)

  • 10 постов на форуме
  • Неделя на форуме
  • Месяц на форуме
  • Год на форуме
  • 10 лет  на форуме

Последние значки

0

Репутация

  1. Всем доброго времени суток! Давненько краем уха услыхал о таком звере как Периферийное сканирование. И вот в настоящее время решил подробнее узнать обо всем этом и выяснил, что информации по данной теме очень мало. С теорией все более менее ясно, хотя в теоретическом плане в просторах интернета встречаются статьи лишь однообразные, похожие друг на друга, без какой либо конкретики. Статей в плане "что нужно скачать по софту и какие адаптеры применить, чтоб пощупать все это в реалии" я пока не нашел. И вот недавно наткнулся на видео-призентацию одной фирмы, которая реламирует свой софт и адаптеры, разумеется все это не бесплатно, но вот в конце этого двухчасового видео вскользь упоминают названия якобы бесплатной среды JTAGLive, которая является упрощенным тестером плат с микрухами поддерживающими периферийное сканирование. Зашел я на сайт производителя этого софта. Оказалось что можно бесплатно пользоваться лишь одной из подкатегорий ихнего софта под названием BUZZ. Ну вот только почему-то установить у меня эту прогу не вышло. Вылазит сообщение о том, что в процессе установки не был найден фаил pnputil.exe (может кто сталкивался?). И еще на ихнем сайте пишут, что для сканирования с помощью USBBlaster Altera необходим Quartus с Программером в нем версии не ниже 9.1. У меня 9й Квартус. Как я понимаю версия программера в нем тоже 9? Возможно ли в 9й квартус подкрепить программер версии 9.1 или нужен именно квартус версии9.1? Вобщем пока бьюсь с установкой этого чуда чтоб хоть как нибудь пощупать эту кухню. Судя по всему вещь очень полезная в плане тестирования плат на микросхемах с BGA выводами. Тему создал в подкатегории "ПЛИС" потому как, итересно применять эту технологию для отладки решений именно на плисинах. Может кто еще какой нибудь софт знает для этого дела, подскажите! Всем заранее спасибо за ответ!
  2. Разбрался! Надо учить английский)))
  3. g614380

    Quartus 2, нужна помощь

    Всем доброго времени суток. Проблем такая: че во то не то нажал и пропали вкладочки обведенные красным маркером на фото снизу. Теперь не могу оперативно перескакивать с вкладки на вкладку с файлами. Может кто знает как восстановить данную функцию. Заранее спасибо!
  4. g614380

    Printf

    А если к примеру я хочу вывести через printf число 285, но из этого числа мне нужен только старший округленный разряд, какую комбинацию спецификатора мне надо указать? Т.е. при делении определенных чисел я получаю целое 285, а вывести нужно округленное число 3. Либо же если округлить нельзя, к примеру урезать число 285 до числа 2.
  5. g614380

    Printf

    Нашел где в настройках float задать. При компиляции вылетает сообщение evaluation version code size limit exceeded. Куда копать?
  6. g614380

    Printf

    Нашел кучу примеров без itoa. У людей приекрасно работает конструкция, которую я привел в начале. Прожу в CodeVisionAvr.Нашел одну статейку, в которой упоменается что данная прога по умолчанию не работает с числами с плавающей точкой. Необходимо сделать какие то настройки. Вот теперь бьюсь где эти настройки найти.
  7. g614380

    Printf

    Вопрос к опытным. Почему не работает данная конструкция: char buffer [20] = ""; int num_i; float num_f; num_i = 5; num_f = 10.5; printf(buffer,“num_i = %d, num_f = %f”, num_i, num_f); lcd_puts (buffer); Не хочет на LCD выводить значение 10.5. Значение 5 выводит. Проверял в протеусе, как в реалие не знаю, но в протеусе не работает. Уже весь мозг сломал.
  8. Доброго всем дня уважаемые коллеги! Не так давно начал освоение ПЛИС на языке Verilog. Пишу в Quartus2 9.0. Описывал простенькие модули и симулировал прямо в квартусе, в его встроенном симуляторе построения диаграмм. Тестбенчи сам никогда еще не писал. Но вот решил что пришло время и нужно осваивать Modelsim. Кое что нарыл в интернете, а точнее последовательность действий, которые описывают каким образом подкрепить тестируемый модуль и сам тестбенч в Modelsim прям из квартуса. Тестбенч и модуль взял готовый описанный в одной из статей: module counter ( input wire reset, input wire clk, input wire [7:0]wdata, input wire wr, output reg [7:0]data ); always @ (posedge clk or posedge reset) if (reset) data <= 8'h00; else if(wr) begin data <= wdata; $display("written %h",wdata); end else data <= data + 8'h01; endmodule собственно модуль module test_counter; reg reset, clk, wr; reg [7:0]wdata; wire [7:0] data_cnt; //устанавливаем экземпляр тестируемого модуля counter counter_inst(reset, clk, wdata, wr, data_cnt); //моделируем сигнал тактовой частоты always #10 clk = ~clk; //от начала времени... initial begin clk = 0; reset = 0; wdata = 8'h00; wr = 1'b0; //через временной интервал "50" подаем сигнал сброса #50 reset = 1; //еще через время "4" снимаем сигнал сброса #4 reset = 0; //пауза длительностью "50" #50; //ждем фронта тактовой частоты и сразу после нее подаем сигнал записи @(posedge clk) #0 begin wdata = 8'h55; wr = 1'b1; end //по следующему фронту снимаем сигнал записи @(posedge clk) #0 begin wdata = 8'h00; wr = 1'b0; end end и тестбенч к нему. Все это подгрузил в Modelsim, ошибок при этом никаких не выдало, но вот диаграммы почему то не построились. Может кто подскажет в чем ошибка и куда рыть. Или ссылочку на хорошую статейку или книгу. Всем заранее спасибо!
  9. Всем спасибо за ответы! Попробую прочитать залоченный файл, посмотрю че там в нем.
  10. Ну допустим залочил я прошивку, а как это определит? Где посмотреть? Что увидеть?
  11. g614380

    Залочивание Прошивки

    День добрый, Уважаемые коллеги! Помогите разобраться. Пишу программки для аврок в CodeVisionAvr. Появилась задача залочить прошивку. В меню программера есть три режима прошивки: 1. No protection 2.Programming disablad 3.Programming and varification disablad. Ну с первым все ясно. Второй режим, судя по всему, запрещает повторное программирование. Третий-запрещает программирование и сверку программы. Поправьте если не правь. Ставил я галочку на третий режим, прошивал, но и программирование и верификация повторно проходила. Вопрос почему? И как вобще прочитать файл, который считывается программатором из контроллера (кажется с расширением rom)?.
  12. Вот спасибо за оперативные ответы. Пошел разбираться
  13. Спасибо за код. Тут все понятно, приходит тактовый сигнал clk на счетчик,и если inc_pulse то инкрементируем, а если dec_pulse декрементируем. Это все предельно ясно. Но в этом случае если какой либо из разрешающих сигналов постоянен и длительность этого сигнал больше длительность клоков, то счетчик за врнмя удержания этого сигнала проинкрементируется не на единицу, а к примеру на 10ть, ну или сколько там тактовых импульсов прилетит за время разрешающего сигнала. А вот как считать именно количество нажатий кнопки в одну сторону и потом вычитать количество нажатий другой кнопки. Можно клоковый импульс сделать больше по времени чем время зажатия конопки, но все равно, если кнопкк все же зажать, то счетчик пойдет считать ипульсы. А как сделать чтоб при зажатой кнопке он не считал а именно инкрементировался на один, а при зажатии другой, декрементировался с предыдущего значения на один?
  14. Добрый день, Уважаемы коллеги! Начинаю разбираться в программировании ПЛИС на Verilog. Появилась задача описать двоичный семиразрядный счетчик, который бы по нажатию одной кнопки инкрементировал бы свое значение, а по нажатию второй- декрементирова. По нажатию кнопок на порты ПЛИС приходят положительние импульсы, одно нажатие, один импульс. Прошу тапками не закидывать, только начал своение, и никак не могу решить такую простенькую задачку. Подкиньте мыслю:-) Прошу прощения за ошибки и опечатки, пишу с телефона.
×
×
  • Создать...