Перейти к содержанию

Поиск сообщества

Показаны результаты для тегов 'ПЛИС'.

  • Поиск по тегам

    Введите теги через запятую.
  • Поиск по автору

Тип контента


Форумы

  • Вопрос-Ответ. Для начинающих
    • Песочница (Q&A)
    • Дайте схему!
    • Школьникам и студентам
    • Начинающим
    • Паяльник TV
    • Обсуждение материалов с сайта
  • Радиоэлектроника для профессионалов
    • Автомобильная электроника
    • Питание
    • Ремонт
    • Системы охраны и наблюдения. Личная безопасность
    • Роботы и модели на ДУ-управлении
    • Световые эффекты и LED
    • Самодельные устройства к компьютеру
    • Программное обеспечение
    • Металлоискатели
    • Автоматика
    • Электрика
    • Промышленная электроника
    • Измерительная техника
    • Мастерская радиолюбителя
    • КВ и УКВ радиосвязь
    • Радиопередатчики
    • Сотовая связь
    • Спутниковое ТВ
    • Телефония и фрикинг
    • Высокое напряжение
    • Идеи и технологии будущего
    • Справочная радиоэлементов
    • Литература
    • Схемотехника для профессионалов
    • Разное
  • Аудио
    • FAQ, Технологии и компоненты
    • Для начинающих
    • Источники звука
    • Предусилители, темброблоки, фильтры
    • Питание аудио аппаратуры
    • Усилители мощности
    • Акустические системы
    • Авто-аудио
    • Ламповая техника
    • Гитарное оборудование
    • Прочее
  • Микроконтроллеры
    • МК для начинающих
    • Arduino, ESP32, ESP8266, Raspberry Pi
    • AVR
    • STM32
    • PIC
    • ПЛИС
    • Другие микроконтроллеры и семейства
    • Алгоритмы
    • Программаторы и отладочные модули
    • Периферия и внешние устройства
    • Разное
  • Товары и услуги
    • Коммерческие предложения
    • Продам-Отдам, Услуги
    • Куплю
    • Уголок потребителя
    • Вакансии и разовая работа
    • Наши обзоры и тесты
  • Разное
    • Курилка
    • Сайт Паяльник и форум
    • FAQ (Архив)
    • Технический английский (English)
    • Личные блоги
    • Наши проекты для Android и Web
    • Корзина
    • Конкурсы сайта с призами
    • Вопросы с VK
  • Переделки ATX->ЛБП
  • Переделки разные темы
  • Киловольты юмора Юмор в youtube

Блоги

  • Твори, выдумывай, пробуй.
  • fant's блог
  • Ток покоя
  • Где купить велпатасвир, epclusa, velpanat, velasof, софосбувир в России по лучшей цене.
  • Китайские бренды видеокамер
  • Создание Маленькой Мастерской
  • Блог администрации
  • STEN50's блог
  • Изучение, наладка, исследование
  • MiSol62's блог
  • короткие записки по ходу дела
  • Программирование AVR и PIC блог
  • Стабилизированный выпрямитель тока ТЕС 12-3-НТ
  • Блог getshket
  • ТНПА
  • welder's блог
  • blog cheloveka loshadi
  • OPeX3's блог
  • Подводная робототехника
  • Сабвуфер и акустика.
  • Радиоуправляемая машина
  • Консультация психолога сексолога онлайн, психолог онлайн
  • Nokian блог
  • Оповещения Dermabellix Scam !! Не покупайте это !!!
  • Cheerful Boss' блог
  • Cheerful Boss' блог
  • VLAD1996B's блог
  • "Коллективное увеличение продаж"
  • Dudok's блог
  • "Коллективное увеличение продаж"
  • Goluboglazyi's блог
  • Прибор определяющий электролитический конденсатор на работоспособность.
  • Mosfet@'s блог
  • mazzi's блог
  • Лучшие компьютерные игры 2017
  • Marchenkokerya's блог
  • Заметки начинаущего аудиофила
  • Почти бесполезные проги
  • Светлый блог.
  • дядюшка Филин's блог
  • Дневники нуба
  • satyrn's блог
  • Люк. В погреб.
  • Фильм Дом Солнца
  • Светодиодная лента B-LED 2835-120 W белая негерметичная
  • Само-Реплицируещиеся Производственные Системы
  • Блог от Eknous
  • РВС's блог
  • Den_R's blog
  • РВС's блог
  • Чтото крутое и про криворукость
  • ekadom's блог
  • Проектирование любых чертежей
  • Lisovic's блог
  • Блог уже не юного радиогубителя
  • денди
  • eHouse
  • zaregan's блог
  • Схемотехника УНЧ с низковольтным питанием на примере приёмников фирмы Grundig
  • То, что в руки попало.
  • Блок питания водородного генератора и все что с ним связано
  • slava_va@mail.ru's блог
  • Блог alex123al97
  • slava_va@mail.ru's блог
  • параленое соединение КРЕНок или как сделать стабилизатор напряжения 24-12в
  • Свободная генерация Андрея Мельниченко
  • реобас
  • Модернизации системы впрыска на 555
  • помощь
  • Копии схем и печатных плат устройств попавшие ко мне
  • MBM75's блог
  • Буду
  • lagutai's блог
  • Мои проекты.
  • lagutai's блог
  • Трудовик
  • vOVK@'s блог
  • токарь-радиолюбитель
  • azlk3000's блог
  • Коллизия сингулярности
  • SmallAlex's блог
  • Вопрос по Цифровому усилителю мощности звука 2x12 Вт YDA138-E
  • bebulo's блог
  • Простейший макет станка термо-вакуумной формовки
  • Блог им. pryanic
  • peratronika
  • Zer's блог
  • MEDBEDb's
  • Гнездо кукушки
  • hiMiческий блог
  • luna_kamen's блог
  • Изучаем USI на основе сверхэкономичного прототипа
  • Алекс-Юстасу
  • SUBWOOFER.RU
  • kot sansher's блог
  • Поделки стареющего пионера
  • доброжелатель2's блог
  • Grig96. Полезные заметки.
  • Attiny 0-ой и 1-ой серии (Attiny817, 1614 и прочие)
  • pavlo's блог
  • MSP430FR
  • viper2's блог
  • Моя Электро Чинильня
  • Selyk's блог
  • VoltServis.ru
  • kpush's блог
  • OM3 на новых платах.
  • конни's блог
  • Электронный экстазёр "MASHKA".
  • ptimai's блог
  • noc functionalities
  • Sun kapitane's blog
  • ODEON AV-500
  • Sun kapitane's blog
  • Логика на транзисторах,диодах, счетние тригери на транзисторах
  • AleksandrBulchuck's блог
  • Качественные окна от производителя
  • KRALEX's блог
  • Javaman's projects
  • SeVeR36's блог
  • 3232
  • Пять копеек.
  • Az@t's блог
  • Индукционный нагрев
  • Схемы разных устройств
  • Кардшаринг SAT ТВ блог
  • PENTAGRID SAYS
  • Ещо раз о "Кощее 5И"
  • Игровые автоматы на официальном сайте
  • коллекционер
  • дямон's блог
  • Ламповый усилитель и акустика для озвучки семейных мероприятий
  • дямон's блог
  • tiosmutoutrup1971
  • Светомузыкальная установка для новачков
  • Лучшие игры для ПК скачать бесплатно
  • sqait's блог
  • Блокнотик
  • Gubernator's блог
  • Записки электрика
  • Полстакана
  • Vrednyuka
  • Интегральные микросхемы
  • grigorik's блог
  • Интегральные микросхемы
  • VMWare удобство и безопастность
  • Профсоюз обычных пользователей
  • rtfcnf's блог
  • Гидроэнергетика в России: отечественные гидроэлектростанции, типы и характеристики
  • VMWare удобство и безопастность
  • Лайфхаки от Кати
  • Kinh chong anh sang xanh gia re
  • ukabumaga's блог
  • АО "Диполь Технологии"
  • artos5's блог
  • блог
  • Kraftwerk's блог
  • 1
  • Kraftwerk's блог
  • Как выбрать точечный светильник?
  • мастерская ky3ne4ik'а
  • Работа с микроконтроллером Atmega8
  • Aronsky
  • Игорь Камский
  • Диммеры
  • 5В = 1,5+3
  • vitiv' блог
  • Ремонт цифровой панели прибора тойоты марк 100. Замена транзистора 36 ( SOT- 23 )PNP
  • Все СРО России
  • 300writers
  • Металлоискатель Tracker FM-1D3
  • Былое
  • Создание монстра "Blaster 8920"
  • 2Smart Cloud Blog
  • EmmGold's блог
  • 2Smart Cloud Blog
  • ivan15961596's блог
  • Кумир у-001
  • ivan15961596's блог
  • My blog
  • Интернет радио в машину
  • SamON
  • Помогите люди добрые
  • AI
  • Помогите подключить маяк 231 стерео.
  • Гаусс-пушки
  • Название
  • 7400's блог
  • Как я собирал свой первый импульсный источник питания
  • Віталік Приходько_130349's блог
  • Lithium ECAD - российская САПР печатных плат
  • Евгений Малюта's блог
  • ПИшу свои мысли
  • werekpro
  • Venera Electronica
  • afurgon's блог
  • Выбросьте это в парашу!
  • odaplus' блог
  • Zvik's блог
  • Smart overload protection power amplifier «Zita (Z) ThermalTrak™»
  • радиоэлектоника
  • BoBka777's блог
  • МиУЗР - Модернизация и Усовершенствование Звуковой Радиотехники .
  • aleksey9900's блог
  • Лабораторная блок питания
  • Нашел статью о пайке проводов к светодиодов
  • Китайский городовой
  • Костик0's блог
  • УФ лампа для маникюра SK-818
  • 8 Contrasts Between Web Servers and Application Servers
  • Конденсатор
  • Новости, обзоры и другая полезная информация от ИМ "Радиодар"
  • Цветомузыка
  • OPeX3's блог
  • Sem2012's блог
  • это не хлам – это часть моей жизни
  • Контроллер на базе ПК (OS Win LTSC)
  • OdiS' блог
  • Хитрости строителя
  • aleksfil's блог
  • Color Preamp - предусилитель на лампах 12AU7
  • Проблема с зарядкой литиевого аккумулятора для шуруповерта 21 вольт
  • EmmGold's блог; AVR
  • Микроэлектроника
  • З
  • CH32V
  • Блог Плотникова Ильи
  • Бесплатные радиодетали с Алиэкспресс
  • Повышение качества и снижение временных затрат при испытаниях электронных компонентов с помощью отечественного испытательного оборудования
  • Источники питания MEAN WELL
  • Жизнь и рыбалка
  • yureika's блог
  • Глушитель спутникогого интернета
  • Всякая всячина
  • Для начинающих
  • Ignite your senses with the grace and allure of female escorts near Laguna Niguel
  • Fumitox's блог
  • Наш-RXT6 топ-10 на январь 2023: Лучшие сайты онлайн казино в России
  • Лицензионные казино онлайн в 2024 году на реальные деньги
  • Самоделки блог
  • Домашняя автоматика
  • Интересное и полезное
  • Ремонт Амфитон 35у-101с
  • ульян's блог
  • Свет в грузовой газели
  • Блок питания 0-12В для начинающих
  • Dimko's блог
  • Иван Самец's блог
  • SolomonVR's блог
  • gendzz's блог
  • fleh138's блог
  • Электроника forever!
  • aleksejhozhenets' блог
  • aleksejhozhenets' блог
  • diserver блог
  • aleksey290476 блог
  • ВАРГ's блог
  • Люстра Чижевского
  • wanes101's блог
  • voldemar2009's блог
  • Jana's блог
  • Jana's блог
  • Рена Искужин's блог
  • abduraxman7's блог
  • Kuzumba's блог
  • Самопальник
  • заработок через интернет на запчасти!!!
  • electric.kiev's блог
  • lolo's блог
  • leravalera's блог
  • ideomatic's блог
  • приглашаем на работу инженера-радиоэлектронщика
  • FREEMAN_77's блог
  • Блог автоэлектрика
  • Блог начинающего электронщика
  • Dersu's блог
  • Электроэнергия и её экономия!
  • Электроэнергия и её экономия!
  • Семён Ковалёв's блог
  • piligrim-666's блог
  • помогите с партотивной калонкой
  • помогите с партотивной калонкой
  • Музыка в стене.
  • m-a-r-i-k-a's блог
  • cosmos44's блог
  • oyama14's блог
  • блог Виталика!
  • ciornii's блог
  • Великий и Ужастный блог
  • Denis__Ricov's блог
  • Universal12's блог
  • Sprut's блог
  • Alexeyslav's блог
  • cosmosemo's блог
  • Заметки радиолюбителя
  • Falconist. Мемуары
  • Блог MillyVolt
  • усилитель импульсов
  • Panasonic sa-ak 18
  • Простое радиоуправление из того, что было.
  • 35house
  • Блог Радиочайника
  • Блохи iiiytnik'a
  • Хороший сервис- Бяка
  • Аудиолаборатория "Философия Звука"
  • ОколоCADовое
  • Блог KVLADS
  • Короп блог
  • Автоматизация котла Protherm MTV
  • Бложиг Касянича
  • Обо всём
  • Эксперимент
  • No electronics
  • ПРИРОДА СВЕТА и ЕГО ВОЗМОЖНОСТИ
  • Генератор на xr2206
  • HTPOWLASER
  • Когда-то были очень популярны у радиолюбителей
  • AVR - микроконтроллеры
  • Микроконтроллер
  • Самодельный автосимулятор
  • Интернет-магазин керамической плитки «Боярская Плитка»
  • Разработка электронных метрических мишеней IPSC для мягкой пневматики (страйкбол)
  • ,

Поиск результатов в...

Поиск контента, содержащего...


Дата создания

  • Начало

    Конец


Дата обновления

  • Начало

    Конец


Фильтр по количеству...

Регистрация

  • Начало

    Конец


Группа


Skype


ICQ


Интересы


Город


Сфера радиоэлектроники


Оборудование

Найдено: 20 результатов

  1. Привет всем! У меня накопилось много редких микросхем. Сам электроникой не занимаюсь, только продаю её. Это излишки, которые накопились за какое-то время. Все детали новые, не распечатанные. Продаю как частное лицо, готов отдать каждое наименование ниже рынка, все цены по согласованию. Во вложении перечень. Если кому-то что-то интересно, пишите в личку. Излишки.docx
  2. Здравствуйте, не так давно начал заниматься программированием FPGA на верилоге и несколько раз сталкивался с проблемой, когда на выходе модуля возникает дребезг (постоянное изменение сигнала). Не смотря на то, что в списке чувствительности процесс блока есть только клоковый импульс и любые изменения на выходах могут быть только при изменении клока, выходной сигнал меняется чаще, чем приходит импульс. Описание модуля, тестовый стенд и скрин симуляции прилагаю (последний скрин это работа в симуляции до синтеза). Важный момент, что такое происходит только при проведении симуляций Timing на любом этапе, в остальных симуляциях все в норме. С этой проблемой сталкивался и до этого, но тогда я решил, что это было из-за асинхронной логики, сделал модуль синхронным и проблема решилась, сейчас модуль синхронный, но проблема есть, хотелось бы узнать что это и как избежать. Заранее благодарю за ответ Control_devices.v tb_Contr_Trans.v
  3. Требуется инженер-программист ПЛИС для проектирования плат и написания прошивок для нескольких проектов. Требования к кандидату: - Опыт работы с различными типами ПЛИС не менее 3 лет - Опыт работы с микроконтроллерами STM32 и другими не менее 3 лет - Опыт работы с Altium Designer, Quartus и другими средами. - Опыт видеообработки с помощью ПЛИС - Опыт работы в команде - Умение разбираться в чужих проектах - Проживание в Москве, желательно поближе к Люберецкому району Немного общей информации по проектам: 1. Видеообработка (2 проекта) - ключевые моменты: сглаживание изображений, работа с видеобуфером, работа с MIPI, PAL/SECAM видеовыходом, работа ПЛИС в паре с микроконтроллером 2. Обработка сигналов (1 проект) - ключевые моменты: работа ПЛИС в паре с микроконтроллером, работа с таймером, точные временные измерения, детектирование аналоговых и цифровых сигналов, работа с оптическими датчиками Оплата договорная Есть перспектива трудоустройства на штатную должность. Контакты: E-mail: vbug2 (собака) yandex.ru Вконтакте: vk.com/bugway
  4. сгенерировал файл в QSYS и вставил в top-level файл проекта Quartus2 (17.0) для De10-nano(cyclone5) запускаю tcl скрипт из tools/tcl scripts/hps_sdram_p0_pin_assignments.tcl чтобы назначить пины HPS вылезают такие вот строки, как поправить? Error: while executing Error:"exec $cmd -t [ info script ] $project_name " Error: invoked from within Error:"if { ![info exists quartus(nameofexecutable)] || ($quartus(nameofexecutable) != "quartus_sta" && $quartus(nameofexecutable) != "quartus_map") } { Error: pos..." Error: (file "D:/FPGA/project/try/unsaved/synthesis/submodules/hps_sdram_p0_pin_assignments.tcl" line 110) Error: invoked from within Error:"_source D:/FPGA/project/try/unsaved/synthesis/submodules/hps_sdram_p0_pin_assignments.tcl" Error: ("uplevel" body line 1) Error: invoked from within Error:"uplevel 1 $cmd " Error: (procedure "source" line 5) Error: invoked from within Error:"source "D:/FPGA/project/try/unsaved/synthesis/submodules/hps_sdram_p0_pin_assignments.tcl""
  5. Очень-очень нужны инженеры по схемотехнике!!! АО «НПО «Орион» сейчас находится в поиске крутых и грамотных специалистов для разных проектов! Мы занимаемся разработкой микрофотоэлектроники как на гражданку, так и на оборонку. Это и тепловизоры, и SWIR-камеры, инфракрасные термосканы и конструктор Smart Blocks на базе Ардуино, а также фотоприемные устройства, лазерные целеуказатели, очки ночного виденья, микрокриогенные системы и другое. Нам нужен такой человек, который по сформулированному техническому заданию сможет с нуля разработать и спроектировать схему «на железе» для того или иного проекта. Также создать макет электронной схемы, протестировать и испытать его. Ну и естественно сопроводить процесс производства от опытного до серийного. Работа в паре с инженером-конструктором. Очень круто, если вы уже проектировали ПЛИС и микроконтроллеры. Наши плюшки: Интересные проекты, некоторые из них в России еще никогда не разрабатывались. У вас будет возможность для творчества, новых разработок и предложений. Стабильность и гарантию! Не смотря на наши проекты и разработки в гражданском направлении, предприятие у нас государственное и соблюдает все нормы трудового законодательства. Очень вкусную столовую на территории с завтраками и обедами (средняя стоимость ~ 150 рублей). Уникальную возможность – увидеть производство матриц фоточувствительных элементов от подложки до готовой матрицы, которую потом Вы и будете разводить на схеме. Множество спортивных и культурных мероприятий в большом и дружном коллективе. А также для пешеходов – доступность от метро Выхино (7 минут пешком или 1 остановка на автобусе). Бонусом водителям автомобилей - две бесплатные корпоративные парковки. Локация: Москва, ст. метро Выхино; График работы: 5/2 с 9:00 до 17:45 (+/- час); З/п по результатам собеседования Контакты: hr@npo-orion.ru +7 499 786 3669 (Екатерина)
  6. Привет всем! Мы - команда разработчиков, занимающиеся исследованием и апробацией способов, алгоритмов и программ контроля целостности данных в процессе передачи или хранения. В рамках преакселерационной программы по гранту "УМНИК" нам необходимо найти контакты лиц, заинтересованных в применении результатов наших разработок. На данный момент имеются реализации на ПЛИС циклического метода декодирования для кодов БЧХ(15,7,5) и (15,5,7), а также для кодов, исправляющих пакетные ошибки (аналог кодов Рида-Соломона). Есть ли заинтересованные лица в разработках по данной области исследования (реализация контроля целостности данных при передаче по каналу связи с помехами, или при хранении данных)?
  7. Нужен программист ПЛИС для создания прошивки шагового двигателя чип xilinx (драйвер и программатор предоставлю). Начать нужно немедленно. Укажите примерную стоимость, скайп, опыт по ПЛИС. Пишите на почту yanbotalov (собака) gmail.com с резюме и портфолио по ПЛИС
  8. Санкт-Петербург. По цене договоримся легко, но желательно (если с пересылом) такое кол-во сразу прикидывать, чтобы хотя бы примерно, ну от 500 р , Просто из-за 200 р. на почту лень бегать и там еще очередь стоять. Фотки нужных МС пришлю заранее естественно, большая часть в кучу в аттаче. Связь или здесь в лс или: Ватсап +79117214333 Вконтакт https://vk.com/the_working_dead Список: ==================================== SSM2166S - усилитель микрофона с настройкой компрессии и подавлением шумов, корпус SOIC-14 http://www.analog.com/media/en/technical-documentation/data-sheets/SSM2166.pdf INA128UA - высокоточный инструментальный ОУ с низким потреблением 700мкА,питание ±2.25 V to ±18 V, корпус SO-8 http://www.ti.com/lit/ds/symlink/ina129.pdf A3964SB - драйвер шагового движка https://media.digikey.com/pdf/Data Sheets/Allegro PDFs/3964.pdf 740L600 - оптопара, TTL-буфер http://213.114.131.21/_pdf/74_TTL/74OL6000.pdf AQW213EH - сдвоенная оптопара https://ru.mouser.com/ds/2/315/panasonic electric works_aqw-dip-current-limiting--1196835.pdf HT9200A - генератор тонального сигнала/2.5V~5.5V/3.58MHz/Serial/8 DIP/ http://www.farnell.com/datasheets/79214.pdf RPM6938 - ИК приемник https://www.mouser.com/ds/2/348/rpm6900-313874.pdf IDT7202LA - асинхронный FIFO на полевиках 1024x9 https://www.mouser.com/ds/2/464/IDT_7200-7202_DST_20171127-880469.pdf PCF7942AT - транспондер и контроллер бесконтактного досутпа http://www.ic72.com/pdf_file/p/70131.pdf VB027 - высоковольтный драйвер катушек зажигания http://pdf.datasheetcatalog.com/datasheet/stmicroelectronics/5589.pdf SP706-EP - низкопотребляющий контроллер напряжения 4.40V DIP-8 http://pdf.datasheetcatalog.com/datasheet/sipex/SP708CN.pdf DS1013S - 3 линии задержки http://www.phenix.bnl.gov/phenix/WWW/muon/muid_fee/whitus/data_sheets/DS1013.pdf TCM38C17IDL- 4 канальный ИКМ https://media.digikey.com/pdf/Data Sheets/Texas Instruments PDFs/TCM38C17IDL.pdf LF398AN - усилитель выборки и хранения http://www.ti.com/lit/ds/symlink/lf398-n.pdf MAX7408 - эллиптический фильтр низких частот 5 порядка https://datasheets.maximintegrated.com/en/ds/MAX7408-MAX7415.pdf LXT6234QE - мультиплексор, корпус PQFP-100 http://www.ic72.com/pdf_file/l/151749.pdf 2N4012 - n-p-n биполярный транзистор http://kazus.ru/datasheets/pdf-data/1946963/NJSEMI/2N4012.html M27V160-100FX1 - 16 Mbit (2Mb x8 or 1Mb x16) EEPROM корпус FDIP42WB (с окошком) https://ru.mouser.com/ds/2/389/stmicroelectronics_cd00001045-1204542.pdf MAX9388EUP - мультиплексор, корпус TSSOP-20 https://datasheets.maximintegrated.com/en/ds/MAX9386-MAX9388.pdf PGA204BU - инструментальный ОУ с настройкой КУ, SOIC-16 http://www.ti.com/lit/ds/symlink/pga204.pdf MAX211EWI - Трансивер RS-232, SO-28 https://datasheets.maximintegrated.com/en/ds/MAX200-MAX213.pdf ALTERA MAX EPM9320ALC84-10 - ПЛИС https://www.altera.com/en_US/pdfs/literature/ds/archives/m9000.pdf
  9. Доброго времени суток. Нужна помощь с программированием простеньких схем цифровой схемотехники. Нужно на 2 языках написать код+tb. Всего схем около сотни. В них входят мультиплексоры, демультиплексоры, декодеры, счетчики. Естественно не за бесплатно. Это пример самой сложной ( по моему субъективному мнению) схемы. По всем вопросам и предложениям можете написать мне на почту tarasov123789@mail.ru
  10. process (int,b) begin if (int'event and int='1') then if (b="01") then x<='1'; b1 <= "00"; else b<=b+1; x<='0'; end if; end if; end process; ; Здравствуйте! Не подскажите как правильно понять этот кусок кода... В списке чувствительности сигнал int он периодически меняется, по его изменению выполняется код ниже... Но непонятно зачем в список занесли b, этот сигнал меняется только в этом коде и нигде больше... К примеру изменился в коде b и процесс выполняется еще раз, или изменение сигналов чувствительности во время выполнения процесса не активируют выполнение процесса еще один раз?
  11. Добрый день всем! Говорю сразу, что я начинающий разработчик FPGA и опыта огромного нет, а эту задачу мне дали в качестве курсовой работы. Поэтому, заранее говорю спасибо всем откликнувшимся! Задача состоит вот в чем: Есть FPGA Altera Cyclone IV на которой нет сетевого модуля под Ethernet, но есть сетевой модуль Arduino ENC28J60. Стоит задача написания драйвера для взаимодействия сетевого модуля Adruino и ПЛИС через протокол SPI, причем с возможностью отсылать данные(по Ethernet) на плис с компьютера(как я понимаю на стороне компьютера должен быть написан клиент для соединения с модулем Adruino), к примеру, буфер размером 64Кб(Но в идеале размер буфера может регулироваться на стороне клиента) и получения уведомления от ПЛИС о принятии этого буфера. Почитал про протокол SPI, теория понятна. Понятно как это должно работать(на основе двух 8-битовых сдвиговых регистров), понятно , что ПЛИС будет выступать в качестве Master-устройства. а Arduino в качесвте Slave-устройства. Понятно как их подсоединить (4 провода: MISO, MOSI, SCLK и SS(slave select)). Есть так же идея реализовать на стороне ПЛИС(Master-устройство) 3 функции на языке Verilog: 1 - функция инициализации, которая будет говорить модулю Adruino о том, что сейчас будут посылаться данные с компьютера, 2 - функция чтения одного байта с сдвигового регистра ардуино, 3 - функция записи одного байта в сдвиговый регистр ардуино. Но а что тогда должно быть на стороне Arduino(Slave-устройство)? На каком языке и что нужно там описать? С пониманием небольшие трудности. Так же не понятно как это все должно взаимодействовать с компьютером? Какую библиотеку использовать для отсылки данных на модуль Arduino? Использовать сокеты? Подойдёт ли Boost asio или может что-то другое? Подскажите пожалуйста куда мне двигаться дальше и с чего начать вообще. Фотографии ПЛИС и сетевого модуля прилагаю.
  12. Необходима помощь в реализации . НА входе разрешающий сигнал то бишь нажатие однократное кнопки. и тактовый сигнал, на выходе логическая единица пока на выходе идут тактовые импульсы в количестве 15 шт. то есть я нажал, и происходит цикл повторений тактовых импульсов на выходе равных тактовой сигналу. и одновременно логическая единица которая по времени столько же сколько и тактовые импульсы пока они не закончатся. не представляю как это реализовать
  13. Нужен срочно спец по плисам, желательно понимающий в СВЧ. Имеются образцы радиодальномеров, которые выдают дальности друг для друга. Мы готовимся сделать новую партию, но некоторые компоненты устарели и уже не выпускаются. Есть описание дальномеров, ТЗ и дополнительные документы. Нужно немного подправить ТЗ, по которому будут выпущены новые радиодальномеры и их надо запрограммировать. Григорий 8-911-196-88-29, принимаю звонки в выходные тоже, gvandri21@gmail.com СПБ TZ_REM.doc БРНЗ_РЭМ_РД_1.doc Краткое описание дальномеров.doc
  14. Добрый вечер. Задача такая на вход подается сигнал 14разрядный и необходимо после того как он перестал изменятся через определенное количество тактовых импульсов выдать логическую единицу, То есть я регулирую переменный резистор с выхода АЦП приходит 14 разрядный сигнал и как только он перестал меняется через 10 импульсов выдать логическую единицу. как его реализовать?
  15. gena334

    Прошивка МАХ7000. Горе

    EPM7128S-100. Перед началом програмирования на вкладке Defvice не установил галочку "Enable Jtag Support" Выдается сообщение что JTAG не доступен. Подскажите пожалуйста как можно помочь моему горю. Я первый раз прошиваю ПЛИС
  16. При проектировании устройств какие конструкции языка VHDL лучше использовать ? 1 State machine организовывать как переменную со своим типом state1, state2 ... или можно создать variable state: natural range 0 to (конечное состояние):=0; Какая конструкция будет занимать меньше ресурсов? 2 Операторы ветвления if else или case? 3 Если нужен переход по изменению сигнала wait или опять же if? 4 Как использовать Component / port map? использую только в тестбенче но возможно так вот кодом соединять провода нескольких файлов vhd? хотелось узнать
  17. Всем привет. поставили задачку сделать частотный преобразователь на ПЛИС. Из статьи посмотрел как его сделать, и как регулировать скорость. но вот меня интересует, как сделать Частотный пуск синхронного двигателя на роторе постоянные магниты, также резкую остановку и пуск в реверсе, по отдельности чтобы все это было, по временным скажу так разгон за 0.1 сек до заданных оборотов, и торможение столько же 0.1 сек, принцип торможения знаю необходимо понижать частоту, но как это сделать, управление будет шимом, на 6 управляющих каналов ШИМ для каждой фазы, на входе, Отдельно Запуск, отдельно Реверс, и отдельно Тормоз, кто с подобным сталкивался прошу отписаться, либо дать советы, на днях дополню информацию по функциональной схеме. Заранее благодарю 200802026.pdf
  18. Ultralisk

    Dj Оборудование

    Добрый день. Интересует вопрос о перепрошивке ПЛИС (программируемая логическая интегральная схема) Начну сначала: имеется девайс Native Instruments Traktor s2(диджейский контроллер) - состояние аппарата: не включается! Вскрытие показало что ПЛИС lcmx0640c вышла из строя. Короткое замыкание по цепи питания чип сильно греется, можно картошку жарить. Собственно вопрос: возможно ли новую микру прошить ? и если прошивка не найдётся, возможно ли с донора слить дамп? Заранее спасибо.
  19. Доброе времени суток! Простейший проект - DDS ip-core в Xilinx ISE 14.7 (Webpack!), выход DDS далее на ЦАП, приаттаченный к плате Nexus 3 (Spartan 6). Top level - schematic. DDS генерит, в железе все нормально, на осциллографе на выходе ЦАП - синус, все как надо. Но как промоделировать проект в ISim? Testbench создаю, клок для DDS генерится, но на выходной шине DDS 0. Отдельно генерил тестбенч для ДДС (не для всего проекта), результат такой же. Возможно, проблема в прослойке между монитором и стулом, но тогда подскажите, что почитать/куда копать? Заранее спасибо!
  20. Всем добрый день. появилось у меня желание покопаться в отечественных ПЛИС серии 5576ХС1 и т.д. возник первый вопрос где взять распиновку ПЛИС? в инете как ни странно нету))) может у кого то есть. хочется "Поддержать" отечественного производителя. и на какой версии Quartus надо с ними работать это аналог FLEX а по точнее EPF10K50-200/ и какие особенности есть у серии FLEX чем она отличима от Cyclone и MAX7000S/ в чем могут быть загвоздки
×
×
  • Создать...