Перейти к содержанию

Бесплатная Программа, Генерирующая Плату С Разводкой Из Модели На Языке Vhdl


Рекомендуемые сообщения

Здравствуйте.

Подскажите, пожалуйста, существует ли бесплатная программа, которая по логической модели цифровой платы на языке VHDL генерирует саму плату с транзисторами и разводкой и визуализирует её?

===

Качество генерации (избыточность элементов) и используемая элементная база не важны.

Из

entity add1 is

port (b1, b2 : in bit;

c1, s1 : out bit);

end add1;

architecture struct_1 of add1 is

begin

s1 <= ((b1 and (not b2)) or ((not b1) and b2));

c1 <= b1 and b2;

end struct_1;

и т.д.

в

amrecpcb3d_orig.gif

Ссылка на комментарий
Поделиться на другие сайты

Реклама: ООО ТД Промэлектроника, ИНН: 6659197470, Тел: 8 (800) 1000-321

20% скидка на весь каталог электронных компонентов в ТМ Электроникс!

Акция "Лето ближе - цены ниже", успей сделать выгодные покупки!

Плюс весь апрель действует скидка 10% по промокоду APREL24 + 15% кэшбэк и бесплатная доставка!

Перейти на страницу акции

Реклама: ООО ТМ ЭЛЕКТРОНИКС, ИНН: 7806548420, info@tmelectronics.ru, +7(812)4094849

Выбираем схему BMS для корректной работы литий-железофосфатных (LiFePO4) аккумуляторов

 Обязательным условием долгой и стабильной работы Li-FePO4-аккумуляторов, в том числе и производства EVE Energy, является применение специализированных BMS-микросхем. Литий-железофосфатные АКБ отличаются такими характеристиками, как высокая многократность циклов заряда-разряда, безопасность, возможность быстрой зарядки, устойчивость к буферному режиму работы и приемлемая стоимость. Но для этих АКБ, также как и для других, очень важен контроль процесса заряда и разряда, а специализированных микросхем для этого вида аккумуляторов не так много. Инженеры КОМПЭЛ подготовили список имеющихся микросхем и возможных решений от разных производителей. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

Платную стало-быть ты уже нашел? Ну-ну.

Платную и не искал.

Нужна именно бесплатная.

Главное, чтобы генерировала хотя бы какую-нибудь схему из модели на языке VHDL.

Ссылка на комментарий
Поделиться на другие сайты

Тебе все пытаются намекнуть, что таких программ не существует вообще.

Возможно придётся использовать комплекс из нескольких бесплатных программ.

Но начнём с первого шага.

Раз существует язык VHDL, то соответственно по нему

можно сгенерировать логическую модель на вентилях (не транзисторах),

таких как AND, OR, сумматор, триггер, счётчик.

subcirc-2-delve.png

Знает ли кто-нибудь такую бесплатную программу?

Ссылка на комментарий
Поделиться на другие сайты

Quartus II от Altera

Quartus II от Altera может строить схему из модели на VHDL?

===

Везде описываться как посмотреть работу схемы в виде сигналов,

а построение схемы из VHDL программы возможно в ней?

или ISE от Xilinx.

Есть вроде бесплатная версия ISE WebPACK

(при загрузке предлагает Vivado Design Suite).

Вы про эту программу?

Изменено пользователем useronforum
Ссылка на комментарий
Поделиться на другие сайты

С примером чего?

Этого?

post-164632-0-64159700-1394715055.png

У меня этот пункт не активен как и пункт компиляции проекта в главном меню.

===

Не совсем понятно даже, какую (бесплатную) версию нужно было скачивать с сайта:

1) Quartus II Web Edition

2) ModelSim-Altera Edition

3) ModelSim PE Student Edition

Я установил Quartus II 13 Web Edition,

и у меня при создании простого проекта пишет "No devices installed",

а если выбрать проект "VHDL-файл", то пункт Компиляция не доступен.

Нет ли у кого-нибудь ссылки на понятный урок по созданию VHDL-проекта,

начиная от установки Quartus II 13?

Ссылка на комментарий
Поделиться на другие сайты

Нет ли у кого-нибудь ссылки на понятный урок по созданию VHDL-проекта,

начиная от установки Quartus II 13?

слушай ну в сети много таких уроков даже видео уроки есть https://www.google.t...lla:ru:official

Ссылка на комментарий
Поделиться на другие сайты

  • 5 месяцев спустя...

Может кому пригодится: Изучение Altera Quartus II

===

Удобно, что в бесплатной программе Altera Quartus II 13.1 Web Edition

можно автоматически строить логическую схему по программе VHDL.

Моя тестовая программа:

library IEEE;

use IEEE.std_logic_1164.all;

entity Project111 is

port (x4, x1, x3: in bit;

y1, y2: out bit);

end Project111;

architecture Project111_Arch of Project111 is

component NEX2

port (A, B: in bit;

Y: out bit);

end component;

component NO2

port (A, B: in bit;

Y: out bit);

end component;

signal s1, s2: bit;

begin

NEX2_1 : NEX2 port map (x4, x1, s1);

NO2_1 : NO2 port map (x3, s1, s2);

NO2_2 : NO2 port map (s1, s2, y2);

y1 <= s2;

end Project111_Arch;

entity NEX2 is

port (A, B: in bit;

Y: out bit);

end NEX2;

architecture NEX2_Arch of NEX2 is

begin

Y <= (A and B) or (not A and not B);

end NEX2_Arch;

entity NO2 is

port(A, B: in bit;

Y: out bit);

end NO2;

architecture NO2_Arch of NO2 is

begin

process(A, B)

variable Y1111, A1: bit;

begin

Y1111 := A or B;

A1 := '1';

Y <= not Y1111 xor A;

end process;

end NO2_Arch;

di-DUJYCY.png

Ссылка на комментарий
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.

Гость
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Ответить в этой теме...

×   Вставлено с форматированием.   Восстановить форматирование

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу
×
×
  • Создать...