Перейти к содержанию

Регулировка Скважности Генератора


Braimik

Рекомендуемые сообщения

Lab diene , Извиняюсь за возможно глупый вопрос, как мне сделать , чтобы генератор выдавал сигналы к примеру с длиной положительного импульса в 15мкс , а к примеру ноль длился 5мкс ? думается мне , что это ШИМом можно сделать, но есть ли какие то другие варианты? и как в шим это рассчитать? Также вопрос . второй генератор, чтобы был сдвинут на 10мкс от основного , как это в плис сделать? конечно можно кучу инверторов или подобного поставить, но такой вариант не канает, заранее спасибо . за глупый вопрос

Ссылка на комментарий
Поделиться на другие сайты

Реклама: ООО ТД Промэлектроника, ИНН: 6659197470, Тел: 8 (800) 1000-321

Это смотря какая точность нужна.

Можно так, по-простому.

Конденсатор подобрать, резисторы отградуировать...

post-21998-0-86944800-1441475605.jpg

Если хотите, чтобы жизнь улыбалась вам, подарите ей своё хорошее настроение

Ссылка на комментарий
Поделиться на другие сайты

20% скидка на весь каталог электронных компонентов в ТМ Электроникс!

Акция "Лето ближе - цены ниже", успей сделать выгодные покупки!

Плюс весь апрель действует скидка 10% по промокоду APREL24 + 15% кэшбэк и бесплатная доставка!

Перейти на страницу акции

Реклама: ООО ТМ ЭЛЕКТРОНИКС, ИНН: 7806548420, info@tmelectronics.ru, +7(812)4094849

Выбираем схему BMS для заряда литий-железофосфатных (LiFePO4) аккумуляторов

Обязательным условием долгой и стабильной работы Li-FePO4-аккумуляторов, в том числе и производства EVE Energy, является применение специализированных BMS-микросхем. Литий-железофосфатные АКБ отличаются такими характеристиками, как высокая многократность циклов заряда-разряда, безопасность, возможность быстрой зарядки, устойчивость к буферному режиму работы и приемлемая стоимость. Но для этих АКБ очень важен контроль процесса заряда и разряда для избегания воздействия внешнего зарядного напряжения после достижения 100% заряда. Инженеры КОМПЭЛ подготовили список таких решений от разных производителей. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

Сравнительное тестирование аккумуляторов EVE Energy и Samsung типоразмера 18650

Инженеры КОМПЭЛ провели сравнительное тестирование аккумуляторов EVE и Samsung популярного для бытовых и индустриальных применений типоразмера 18650. 

Для теста были выбраны аккумуляторы литий-никельмарганцевой системы: по два образца одного наименования каждого производителя – и протестированы на двух значениях тока разряда: 0,5 А и 2,5 А. Испытания проводились в нормальных условиях на электронной нагрузке EBD-USB от ZKEtech, а зарядка осуществлялась от лабораторного источника питания в режиме CC+CV в соответствии с рекомендациями в даташите на определенную модель. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

Литиевые аккумуляторы EVE Energy и решения для управления перезаряжаемыми источниками тока (материалы вебинара)

Опубликованы материалы вебинара Компэл, посвященного литиевым аккумуляторам EVE Energy и решениям для управления перезаряжаемыми источниками тока.

На вебинаре мы представили информацию не только по линейкам аккумуляторной продукции EVE, но и по решениям для управления ею, что поможет рассмотреть эти ХИТ в качестве дополнительной альтернативы для уже выпускающихся изделий. Также рассмотрели нюансы работы с производителем и сервисы, предоставляемые Компэл по данной продукции. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

Литиевые батарейки и аккумуляторы от мирового лидера  EVE в Компэл

Компания Компэл, официальный дистрибьютор EVE Energy, бренда №1 по производству химических источников тока (ХИТ) в мире, предлагает продукцию EVE как со склада, так и под заказ. Компания EVE широко известна в странах Европы, Америки и Юго-Восточной Азии уже более 20 лет. Недавно EVE была объявлена поставщиком новых аккумуляторных элементов круглого формата для электрических моделей «нового класса» компании BMW.

Продукция EVE предназначена для самого широкого спектра применений – от бытового до промышленного. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

Ну это как в институте спрашивать "как пользоваться калькулятором".

Просто делаешь нужной длительности "1" и нужной длительности "0", и в цикле всё это повторяешь.

А сдвиг - делаешь задержкой на регистрах.

Ссылка на комментарий
Поделиться на другие сайты

А сдвиг - делаешь задержкой на регистрах.

тоесть я делаю через ПЛЛ делитель на опредленную чистоту и так делаю шим с определенной скоростью, и также я получается могу сделать второй тактовый сигнал на основании которого будет происходить сдвиг другого сигнала, либо второй сигнал будет от шима срабатывать

Ссылка на комментарий
Поделиться на другие сайты

Нет, PLL тут не нужна.

На одной тактовой частоте делаешь счётчик. Его значение сравниваешь с заданным числом. Если меньше этого чиста, то на выходе 0, если больше - то на выходе 1.

Этот же выход задерживаешь на нужное число тактов на регистрах. Либо делаешь второй счётчик, который стартует В другой момент времени.

Изменено пользователем Vascom
Ссылка на комментарий
Поделиться на другие сайты

Какая у тебя тактовая частота?

частота 1кГц. прямоугольные импульсы должны быть на выходе. когда первый генератор переходит в ноль после 15мкс, второй генератор имеет тоже самое . то есть сдвиг на 15мкс у нах

Ссылка на комментарий
Поделиться на другие сайты

Если есть источник тактовых сигналов (допустим 50 МГц), то счетчик на нужный период делается элементарно.

Например, необходим период (T) 35 мкс, длительность лог 1 должна быть 17 мкс, тактовая частота 50 МГц (dt=20 нс).

Найдем число считаемых импульсов N=T/dt = 35e-6/20e-9=1750. Полученный результат укладывается в 11 битный счетчик (2^11=2048>1750). Аналогично вычисляется число импульсов для лог 1 (850).

Далее пишем (пример на vhdl)

entity devider is
port(
clk, rst:in std_logic;
pulse:out std_logic;
num:out std_logic_vector(10 downto 0)
);
architecture cnt of devider is
begin
process(clk)
variable cnt_v:unsigned(10 downto 0);
begin
if(rising_edge(clk))then
if(rst='1')then
 cnt_v:=to_unsigned(0,11);--clear counter
 pulse<='0';--set 0
else
 cnt_v:=cnt-v+to_unsigned(1,11);--increment couneter
 if(cnt_v=to_unsigned(2,11))then
 pulse<='1';--set 1
 elsif(cnt_v>=to_unsigned(852,11)then
 pulse<='0';--set 0
 elsif(cnt_v>=to_unsigned(1750))then
 cnt_v:=to_unsigned(0,11);--clear counter
 end if;
end if;
num<=std_logic_vector(cnt_v);--out number
end if;
end process;
end cnt;

В данном варианте, переход в лог 1 осуществляется при значении счетчика равным 2, а через 850 импульсов - в нуль. При достижении или превышении порога (1750) происходит обнуление счетчика

Изменено пользователем Meteor77
Ссылка на комментарий
Поделиться на другие сайты

Вот решение твоей задачи на Verilog http://paste.fedorap...266680/42162813

И тестбенч к нему http://paste.fedorap...266681/44216281

pwm_period - период ШИМ в мкс минус один.

pwm_width - длительность положительного импульса в мкс.

pwm_cnt_1_delay - задержка второго ШИМ относительно первого в мкс минус один.

Вот диаграммы выходных сигналов ШИМ

post-164632-0-27218700-1442163081_thumb.png

Конечно всё это при тактовой частоте 1кГц.

Ссылка на комментарий
Поделиться на другие сайты

  • 2 недели спустя...

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.

Гость
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Ответить в этой теме...

×   Вставлено с форматированием.   Восстановить форматирование

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу
×
×
  • Создать...