Перейти к содержанию

Active-Hdl


SENDEJER

Рекомендуемые сообщения

надеюсь мне помогут, очень нужна помощь! помогите пожалуйста.

есть 2 кода

1) 11

КОД ПРОГРАММЫ (п. 1(параллельный регистр))

library IEEE;

use IEEE.STD_LOGIC_1164.all;

entity Registr is

port(

CLK : in STD_LOGIC;

WE : in STD_LOGIC;

RE : in STD_LOGIC;

DATA_IN : in STD_LOGIC_VECTOR(7 downto 0);

DATA_OUT : out STD_LOGIC_VECTOR(7 downto 0)

);

end Registr;

architecture Registr of Registr is

begin

process (CLK) is

variable T: STD_LOGIC_VECTOR (7 downto 0);

begin

if (WE = '1') and (RE ='0') then T:=DATA_IN;

elsif (WE = '0') and (RE = '1') then DATA_OUT <= T;

else DATA_OUT <= "ZZZZZZZZ";

end if;

end process;

end Registr;

2) 11

КОД ПРОГРАММЫ (п. 4(последовательный регистр))

library IEEE;

use IEEE.STD_LOGIC_1164.all;

entity registr_posl is

port(

DATA_IN : in STD_LOGIC;

CLK : in STD_LOGIC;

WE : in STD_LOGIC;

RE : in STD_LOGIC;

DATA_OUT : out STD_LOGIC_VECTOR(7 downto 0)

);

end registr_posl;

architecture registr_posl of registr_posl is

begin

process (CLK) is

variable T: STD_LOGIC_VECTOR (7 downto 0);

begin

if CLK = '1' then

if (WE = '1') and (RE ='0') then

for i in 7 downto 1 loop

T(i):=T(i-1);

end loop;

T(0):=DATA_IN;

elsif (WE = '0') and (RE = '1') then DATA_OUT <= T;

else DATA_OUT <= "ZZZZZZZZ";

end if;

end if;

end process;

end registr_posl;

можете пояснить, что чего и как? что за входные сигналы ,от куда на DATA_IN и DATA_OUT появляется сигнал, какие и как меняются , что за RE и WE? как он появляется на выходе , почему и какая задержка ? есть еще графики, могу скинуть

Изменено пользователем SENDEJER
Ссылка на комментарий
Поделиться на другие сайты

  • 2 недели спустя...

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.

Гость
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Ответить в этой теме...

×   Вставлено с форматированием.   Восстановить форматирование

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу
×
×
  • Создать...