Перейти к содержанию

Список чувствительности


Rine

Рекомендуемые сообщения

process (int,b)
begin
   if (int'event and int='1') then  
          if (b="01") then  x<='1';  b1 <= "00";
                else b<=b+1; x<='0';
        end if;
    end if;
end process;

;

 

Здравствуйте! Не подскажите как правильно понять этот кусок кода...

В списке чувствительности сигнал int он периодически меняется, по его изменению выполняется код ниже... Но непонятно зачем в список занесли b, этот сигнал меняется только в этом коде и нигде больше...  К примеру изменился в коде b и процесс выполняется еще раз, или изменение сигналов чувствительности во время выполнения процесса не активируют выполнение процесса еще один раз?

Изменено пользователем Rine
дополнение
Ссылка на комментарий
Поделиться на другие сайты

Реклама: ООО ТД Промэлектроника, ИНН: 6659197470, Тел: 8 (800) 1000-321

Скорее всего сигнал "b" нужен в списке чувствительности потому что он проверяется внутри if 

if (b="01")

А вообще - используй Verilog и всё будет гораздо понятнее и проще.

Изменено пользователем Vascom
Ссылка на комментарий
Поделиться на другие сайты

20% скидка на весь каталог электронных компонентов в ТМ Электроникс!

Акция "Лето ближе - цены ниже", успей сделать выгодные покупки!

Плюс весь апрель действует скидка 10% по промокоду APREL24 + 15% кэшбэк и бесплатная доставка!

Перейти на страницу акции

Реклама: ООО ТМ ЭЛЕКТРОНИКС, ИНН: 7806548420, info@tmelectronics.ru, +7(812)4094849

Тогда два вопроса

1)То, что используется внутри надо выносить в список чувствительности?

2)Если переменная, которая в списке чувствительности изменится во время выполнения процесса, он начнется еще раз?

Ссылка на комментарий
Поделиться на другие сайты

Организация питания на основе надежных литиевых аккумуляторов EVE и микросхем азиатского производства

Качественное и безопасное устройство, работающее от аккумулятора, должно учитывать его физические и химические свойства, профили заряда и разряда, их изменение во времени и под влиянием различных условий, таких как температура и ток нагрузки. Мы расскажем о литий-ионных аккумуляторных батареях EVE и нескольких решениях от различных китайских компаний, рекомендуемых для разработок приложений с использованием этих АКБ. Представленные в статье китайские аналоги помогут заменить продукцию западных брендов с оптимизацией цены без потери качества. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

В список надо вносить то, что проверяется в условиях (if, case). Хотя... Но в данном случае это ни на что не влияет.

Нет, ещё раз не начнётся, поскольку условие фронта int не наступит.

Не стоит относиться к этому коду, как к программе для компьютера. Лучше сразу примерно представлять во что данный код будет синтезирован.

Тут будут три регистра x, b, b1, которые будут менять своё значение только по положительному фронту тактового сигнала int.

Ссылка на комментарий
Поделиться на другие сайты

Сравнительное тестирование аккумуляторов EVE Energy и Samsung типоразмера 18650

Инженеры КОМПЭЛ провели сравнительное тестирование аккумуляторов EVE и Samsung популярного для бытовых и индустриальных применений типоразмера 18650. 

Для теста были выбраны аккумуляторы литий-никельмарганцевой системы: по два образца одного наименования каждого производителя – и протестированы на двух значениях тока разряда: 0,5 А и 2,5 А. Испытания проводились в нормальных условиях на электронной нагрузке EBD-USB от ZKEtech, а зарядка осуществлялась от лабораторного источника питания в режиме CC+CV в соответствии с рекомендациями в даташите на определенную модель. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

Да, условия фронта не наступит, но чтоб до проверки условия фронта дойти надо зайти в процесс... 

Правильно  я понимаю - изменился int, мы зашли в процесс и поменяли b. Тк b изменился мы входим еще раз в процесс, но так как не выполнено условие фронта int мы выходим?

Ссылка на комментарий
Поделиться на другие сайты

Литиевые аккумуляторы EVE Energy и решения для управления перезаряжаемыми источниками тока (материалы вебинара)

Опубликованы материалы вебинара Компэл, посвященного литиевым аккумуляторам EVE Energy и решениям для управления перезаряжаемыми источниками тока.

На вебинаре мы представили информацию не только по линейкам аккумуляторной продукции EVE, но и по решениям для управления ею, что поможет рассмотреть эти ХИТ в качестве дополнительной альтернативы для уже выпускающихся изделий. Также рассмотрели нюансы работы с производителем и сервисы, предоставляемые Компэл по данной продукции. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

Нет, я же говорю, ни куда мы не заходим. Этот код синтеризуется в регистры. Ни каких заходов как в функции обычных языков программирования тут нет. Все описанные регистры и логические элементы существуют и работают одновременно.

Ссылка на комментарий
Поделиться на другие сайты

Литиевые батарейки и аккумуляторы от мирового лидера  EVE в Компэл

Компания Компэл, официальный дистрибьютор EVE Energy, бренда №1 по производству химических источников тока (ХИТ) в мире, предлагает продукцию EVE как со склада, так и под заказ. Компания EVE широко известна в странах Европы, Америки и Юго-Восточной Азии уже более 20 лет. Недавно EVE была объявлена поставщиком новых аккумуляторных элементов круглого формата для электрических моделей «нового класса» компании BMW.

Продукция EVE предназначена для самого широкого спектра применений – от бытового до промышленного. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

process (a, b, tmp)
begin
tmp <= tmp or b;
y <= tmp;
end process;

тогда такой пример, здесь мы имеем дело с комбинационной петлей и элементом ИЛИ ... Зачем tmp в списке чувствительности? Я всегда думал, что список чувствительности это те сигналы, изменение которых начинает начало процесса... А что делать с сигналами, которые меняются в самом процессе, и одновременно находятся в списке чувствительности? 

Ссылка на комментарий
Поделиться на другие сайты

Тут просто комбинационная логика получится.

Можешь синтезировать оба варианта и построить схему, тогда всё будет ясно видно.

 

Тебе принципиально VHDL нужен? На Verilog подобных вопросов не возникнет.

Ссылка на комментарий
Поделиться на другие сайты

23 часа назад, Rine сказал:

process (int,b)
begin
   if (int'event and int='1') then  
          if (b="01") then  x<='1';  b1 <= "00";
                else b<=b+1; x<='0';
        end if;
    end if;
end process;

Здравствуйте! Не подскажите как правильно понять этот кусок кода...

В списке чувствительности сигнал int он периодически меняется, по его изменению выполняется код ниже... Но непонятно зачем в список занесли b, этот сигнал меняется только в этом коде и нигде больше...  К примеру изменился в коде b и процесс выполняется еще раз, или изменение сигналов чувствительности во время выполнения процесса не активируют выполнение процесса еще один раз?

Очень странный код в отрыве от программы целиком. Да, при изменении переменной b компилятор будет обращаться к этому коду, но так как переменная b проверяется только внутри старшего условия, то ничего в этом коде выполняться не будет. Из списка чувствительности можно смело убрать переменную b.

Ссылка на комментарий
Поделиться на другие сайты

В 30.11.2017 в 15:03, Rine сказал:

process (a, b, tmp)
begin
tmp <= tmp or b;
y <= tmp;
end process;

тогда такой пример, здесь мы имеем дело с комбинационной петлей и элементом ИЛИ ... Зачем tmp в списке чувствительности? Я всегда думал, что список чувствительности это те сигналы, изменение которых начинает начало процесса... А что делать с сигналами, которые меняются в самом процессе, и одновременно находятся в списке чувствительности? 

А не сможете прокомнтировать, пожалуйста, зачем в этом куске в список занесен tmp,  это комбиционная петля,  из учебника пример

Ссылка на комментарий
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.

Гость
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Ответить в этой теме...

×   Вставлено с форматированием.   Восстановить форматирование

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу
×
×
  • Создать...