Перейти к содержанию

Кто работал с памятью M95080? Не пишет весь массив


artos5

Рекомендуемые сообщения

В общем победил я проблему с записью и чтением !

Нужно было делать так:

Отправить команду разрешить запись

Отправить команду записать в статус регистр 

Отправить данные 0х02

Потом прочитать статус регистр , отправив команду чтения статус регистра

должен быть код 0х02.

И внимание! Прочитать нужно 16 бит!

Потом только записать 32 байта!

 

Что может быть лучше в радиоэлектронике, чем программирование микроконтроллеров ?

Ссылка на комментарий
Поделиться на другие сайты

Реклама: ООО ТД Промэлектроника, ИНН: 6659197470, Тел: 8 (800) 1000-321

20% скидка на весь каталог электронных компонентов в ТМ Электроникс!

Акция "Лето ближе - цены ниже", успей сделать выгодные покупки!

Плюс весь апрель действует скидка 10% по промокоду APREL24 + 15% кэшбэк и бесплатная доставка!

Перейти на страницу акции

Реклама: ООО ТМ ЭЛЕКТРОНИКС, ИНН: 7806548420, info@tmelectronics.ru, +7(812)4094849

Из даташита понял что регистр статуса должен быть 0х02 , потом увидел из дш. что в статус регистр можно записывать. Но ни где не указано в дш. что нужно в этот регистр записать 0х02 потом только писать данные!

Дурацкое описание в индусов :)

Вот в микрочипа отличный дш. У них похожая память на эту - 25С080.

Пришлось и его дш. читать.

В результате , утром при помощи перебора (я и знал что статус должен быть 0х02, но без записи статус регистра он сам таким не станет ) получилось записать весь массив , и прочитать его .

Сейчас пишет и читает корректно , как страницу так и весь массив.

 

Если кому надо будет,  могу дать библиотеку к этой памяти. Она для любого мк. Но сейчас я использую с STM32F103 в 48 пиновом корпусе.

Что может быть лучше в радиоэлектронике, чем программирование микроконтроллеров ?

Ссылка на комментарий
Поделиться на другие сайты

Выбираем схему BMS для заряда литий-железофосфатных (LiFePO4) аккумуляторов

Обязательным условием долгой и стабильной работы Li-FePO4-аккумуляторов, в том числе и производства EVE Energy, является применение специализированных BMS-микросхем. Литий-железофосфатные АКБ отличаются такими характеристиками, как высокая многократность циклов заряда-разряда, безопасность, возможность быстрой зарядки, устойчивость к буферному режиму работы и приемлемая стоимость. Но для этих АКБ очень важен контроль процесса заряда и разряда для избегания воздействия внешнего зарядного напряжения после достижения 100% заряда. Инженеры КОМПЭЛ подготовили список таких решений от разных производителей. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

ну вообще по вашим словам картинка несколько странноватая. Я посмотрел ДШ и там все что вы описываете есть и совершенно конкретно. В том числе и про 16 читаемых бит.

а вот это вот говорит о том, что и про 0х02 там тоже есть. Правда непонятно почему у вас вышло именно 0х02, если достаточно записать туда 0х00, потому как:

Quote

The Write Status Register (WRSR) instruction has no effect on the b6, b5, b4, b1, b0 bits in the Status Register. Bits b6, b5, b4 are always read as 0

то есть двойку писать как бы само собой бессмысленно. Да и каждый раз это делать не обязательно, потому как конфигурация защиты пишется только один раз после ресета

читаем дальше. В каких случаях инструкция Write не выполняется:

Quote

The instruction is not accepted, and is not executed, under the following conditions:

if the Write Enable Latch (WEL) bit has not been set to 1 (by executing a Write Enable instruction just before),

• if a Write cycle is already in progress,

• if the device has not been deselected, by driving high Chip Select (S), at a byte boundary (after the eighth bit, b0, of the last data byte that has been latched in),

• if the addressed page is in the region protected by the Block Protect (BP1 and BP0) bits

ну и дальше - что нужно, чтобы поднять этот самый бит.

Quote

All instructions that modify data must be preceded by a Write Enable (WREN) instruction to set the Write Enable Latch (WEL) bit

итого:

1. Конфигурируем статус-регистр перед тем как начинать работать с блоками (биты защиты).

2. Читаем WIP бит, если все ок шлем WREN. Проверяем WEL

3. Пишем

так что не совсем ясно откуда у вас такая химия получается

Изменено пользователем mail_robot

Нужно делать то, что нужно. А то, что не нужно, делать не нужно. (С) Винни Пух

Ссылка на комментарий
Поделиться на другие сайты

10 часов назад, mail_robot сказал:

так что не совсем ясно откуда у вас такая химия получается

Посмотрел в исходник , примерно так и сделал. А по даташиту понял что нужно 0х02 писать ... Попробовал 0х00 - тоже работает :)

Скрытый текст

void flash_write_arr(char *data, uint16_t size, uint16_t addr)
{
	uint16_t x=0, y=0;
	data+=0;
	
for(y=addr; y<=addr+size/32; y++) // page
{
write_status_reg_M95080();
write_enable_M95080();			
while((read_status_M95080()&0x00)!=0x00);
	
SPI_CS_SET;
soft_spi_write_instruct(SPI_FLASH_INS_PAGE_PROGRAM);
soft_spi_write_addr(y*32);

for(x=y*32; x<(y*32+32); x++)
{
soft_spi_write_instruct(data[x-addr*32]);
}

SPI_CS_SET;
HAL_Delay(70);
}
}

ПС: с английским туго бывает , отсюда наверное и недопонимания.

 

Что может быть лучше в радиоэлектронике, чем программирование микроконтроллеров ?

Ссылка на комментарий
Поделиться на другие сайты

Всем привет! 

Ребятки , кто юзал эту память , или похожую - подскажите!

Что не так в функциях:

чтение:

void flash_mas_read( uint16_t y, uint16_t addr, uint8_t s)
{
uint16_t z=0, x=0;
		
 read_cmd_M95080();
 soft_spi_write_addr(addr);
   HAL_Delay(1);
for(x=0; x<y; x++) // читаем данные
   {
  if(s==0)buff_text1[x] = soft_spi_read();
	else buff_text2[x] = soft_spi_read();
								 

								 
	if(s==0) sprintf( tx_buffer0 ,"%c", buff_text1[x]);
    else sprintf( tx_buffer0 ,"%c", buff_text2[x]);
	send_str_uart1(tx_buffer0);
	}
	SPI_CS_SET;
  HAL_Delay(8);
}

запись:

void flash_write_arr(char *data, uint16_t size, uint16_t addr)
{
	uint16_t x=0, y=0;
	data+=0;
	
for(y=addr; y<=addr+size/32; y++) // page
{
write_status_reg_M95080();
write_enable_M95080();			
while((read_status_M95080()&0x00)!=0x00);
	
SPI_CS_SET;
soft_spi_write_instruct(SPI_FLASH_INS_PAGE_PROGRAM);
soft_spi_write_addr(y*32);

for(x=y*32; x<(y*32+32); x++)
{
soft_spi_write_instruct(data[x-addr*32]);
}

SPI_CS_SET;
HAL_Delay(70);
}
}

Проблема такая: если пишу по 0 адресу - то все ок пишет и читает , а вот если пишу уже по адресу 256 (десятичная система) - то не пишет корректно , или вообще не пишет ...

Что я мог упустить в коде?

Что может быть лучше в радиоэлектронике, чем программирование микроконтроллеров ?

Ссылка на комментарий
Поделиться на другие сайты

Нашел косяк ))) исправил , и все завелось!

проблема была в функции записи, вот так надо:

void flash_write_arr(char *data, uint16_t size, uint16_t addr)
{
	uint32_t x=0, y=0;
	data+=0;
  addr/=32;
for(y=addr; y<(addr+size/32); y++) // page
{
write_enable_M95080();
write_status_reg_M95080();			
while((read_status_M95080()&0x00)!=0x00);
	
SPI_CS_SET;
soft_spi_write_instruct(SPI_FLASH_INS_PAGE_PROGRAM);
soft_spi_write_addr(y*32);

for(x=y*32; x<(y*32+32); x++)
{
soft_spi_write_instruct(data[x-addr*32]);
}

SPI_CS_SET;
HAL_Delay(70);
}
}

И добавил включение разрешение на запись в функции чтения массива (думаю не помешает):

void flash_mas_read( uint16_t y, uint16_t addr, uint8_t s)
{
uint16_t z=0, x=0;
write_enable_M95080();	
write_status_reg_M95080();
while((read_status_M95080()&0x00)!=0x00);
	
 read_cmd_M95080();
 soft_spi_write_addr(addr);
   HAL_Delay(1);
	for(x=0; x<y; x++) // читаем данные
	{
	if(s==0)buff_text1[x] = soft_spi_read();
	else buff_text2[x] = soft_spi_read();

	HAL_Delay(8);
	 
	}
				 SPI_CS_SET;
			  HAL_Delay(8);
}

 

Что может быть лучше в радиоэлектронике, чем программирование микроконтроллеров ?

Ссылка на комментарий
Поделиться на другие сайты

6 hours ago, artos5 said:

вот так надо

как я понял изменился только порядок команд на запись. Интересно тогда почему до 256-го адреса все нормально работало?

Нужно делать то, что нужно. А то, что не нужно, делать не нужно. (С) Винни Пух

Ссылка на комментарий
Поделиться на другие сайты

17 часов назад, artos5 сказал:

 

 

11 час назад, mail_robot сказал:

как я понял изменился только порядок команд на запись. Интересно тогда почему до 256-го адреса все нормально работало?

В коде неправильно было обращение к ячейкам массива ,и неправильно указывался адрес страницы .

Вот это решило проблему:

addr/=32;

Что может быть лучше в радиоэлектронике, чем программирование микроконтроллеров ?

Ссылка на комментарий
Поделиться на другие сайты

  • 2 недели спустя...

Короче , опять каламбур... Запись нормально работает , а вот с чтением памяти какие то проблемы...... Есть у кого то побайтовый кусок , как прочитать из памяти данные? Из даташита нихрена не работает , либо работает крайне нестабильно . Заметил что четко считывает , если читать один и тот же кусок два раза . На второй раз нормально считывается... 

Читаю вот так:

void flash_mas_read( uint16_t y, uint16_t addr, uint8_t s)
{
    SPI_WP_SET;
    HAL_Delay(50);
uint16_t z=0, x=0;
   
 read_cmd_M95080();
 soft_spi_write_addr(addr); // двух байтовый адрес: 0 ... 1024 
   HAL_Delay(1);
    for(x=0; x<y; x++) // читаем данные
    {
    buff_text[x] = soft_spi_read(); // читаем весь массив 
    }
    SPI_CS_SET;
    HAL_Delay(8);
    SPI_WP_CLR;
}

 

Что может быть лучше в радиоэлектронике, чем программирование микроконтроллеров ?

Ссылка на комментарий
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.

Гость
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Ответить в этой теме...

×   Вставлено с форматированием.   Восстановить форматирование

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу
×
×
  • Создать...