Перейти к содержанию

Отвязать питание мк от выходного напряжения


Securety

Рекомендуемые сообщения

Всем добрый день, добрался я наконец до кода прошивки мк, но пока слабо в этом разбираюсь. Суть описание проблемы такая, есть мк 12f629 у него по даташиту на 7-6 ноге встроен компаратор. Раньше у меня было питание 8-12в, а сейчас 3.7в соответственно мк отказывается работать потому-что выход питания с его ног заточен на 5в в коде. Сам мк работает от 2-5.5в но хотелось бы изменить привязку от 2.5в как это сделать где копать?

Прошивка привязана к выходному напряжению, которое жёстко привязано к напряжению питания контроллера, которое, по сути, является опорным. Замер напряжения делается компаратором ноги 6 и 7. Изменяя делитель можно установить любое напряжение срабатывания компаратора.

D2 контроллер pic12f629 / 7-6 ноги компаратор опорное напряжение привязано к напряжению питания контроллера зашитое в прошивку 2.71в на 60в срабатывает. Заряд с ноги 2 на транзистор VT1. Подстроечный резистор на паузу подкачки R6, делитель напряжения R6/R7/R5 в зависимости как подберем сопротивление верхнего и нижнего плеча для нужного заряда конденсатора 60в или 100в. Нога 5 разряд на катушку через ключ длительность импульсов от 1-30мс с шагом 1мс. 4 нога кнопка управления настройками длительности импульсов.

Описание: Как это работает: Красное свечение светодиода - рабочий режим Зеленое свечение светодиода - заряд Быстрое мигание красного-зеленого свечения - села батарея (сброс мк) Если зажать спуск, и включить схему, программа переход в режим программирования длительности, текущее значение длительности обнуляется, и каждое моргание красного светодиода это приращение длительности на шаг 1мс . При достижении конечного значения 30мс происходит кратковременное перемигивание светодиода красным-зеленым и все обнуляется и дальше по кругу. При отпускании спуска текущее значение длительности запоминается и схема переходит в рабочий режим.

Пояснение: Вывод :6 - это CIN-, :7 - CIN+ (входы компаратора).
По конфигурации компаратора в программе, на вход "+" компаратора подаётся напряжение =13/24*Vdd, а вход "-" переключают между выводами :6 и :7.
Как такового, опорного напряжения для компаратора нет, т.ч. именно выполняя программу, нет возможности отслеживать напряжение питания +5В.

при 60в 2,71В (если точнее, 2,708(3)В) - это то, с чем сравнивает компаратор при напряжении питания контроллера 5В.
Измените напряжение его питания - изменится и напряжение сравнения (текущую формулу выложил выше) и, соответственно, напряжение на X4.

По схеме, нижний предел АСС (Х4) жёстко задан соотношением номиналов (R6+R7)/R5, а верхний - подстроечником, в диапазоне от (R6+R7)/R5 до (R5+R6)/R7.

Питание менял в proteus ниже 4.8в отказывается работать. Есть в голове мысль, что я должен амплитуду выходов мк в 5в с ног в прошивке везде поменять на 2.5в. Также есть PWRTE — очередной таймер он включен.
При активации он будет сбрасывать МК до тех пор, пока питание не поднимется до нужного уровня. Думаю проблема в нём где он зашит? хорошо возможно я его откл. но хотелось бы понять где он в коде?

Сам код асм.

Скрытый текст

processor 12F629
#include <P12F629.INC>
__config 0x3F84
; _CPD_OFF & _CP_OFF & _BODEN_OFF & _MCLRE_OFF & _PWRTE_ON & _WDT_OFF
; & _INTRC_OSC_NOCLKOUT
; __idlocs 0xFF, 0xFF, 0xFF, 0xFF

; EEPROM-Data
Org 0x2100
DE 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00 ; ........
DE 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00 ; ........
DE 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00 ; ........
DE 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00 ; ........
DE 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00 ; ........
DE 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00 ; ........
DE 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00 ; ........
DE 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00 ; ........
DE 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00 ; ........
DE 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00 ; ........
DE 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00 ; ........
DE 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00 ; ........
DE 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00 ; ........
DE 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00 ; ........
DE 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00 ; ........
DE 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00 ; ........

; RAM-Variable
LRAM_0x20 equ 0x20
LRAM_0x21 equ 0x21
LRAM_0x22 equ 0x22
LRAM_0x23 equ 0x23
LRAM_0x38 equ 0x38
LRAM_0x39 equ 0x39
LRAM_0x3A equ 0x3A

; Program

Org 0x0000

; Reset-Vector
GOTO LADR_0x0028
LADR_0x0001
CLRF LRAM_0x23
LADR_0x0002
MOVWF LRAM_0x22
LADR_0x0003
MOVLW 0xFF ; b'11111111' d'255'
; Interrupt-Vector
ADDWF LRAM_0x22,F
BTFSS STATUS,C
ADDWF LRAM_0x23,F
BTFSS STATUS,C
GOTO LADR_0x0023
MOVLW 0x03 ; b'00000011' d'003'
MOVWF LRAM_0x21
MOVLW 0xDF ; b'11011111' d'223'
CALL LADR_0x000F
GOTO LADR_0x0003
CLRF LRAM_0x21
LADR_0x000F
ADDLW 0xE8 ; b'11101000' d'232'
MOVWF LRAM_0x20
COMF LRAM_0x21,F
MOVLW 0xFC ; b'11111100' d'252'
BTFSS STATUS,C
GOTO LADR_0x0018
LADR_0x0015
ADDWF LRAM_0x20,F
BTFSC STATUS,C
GOTO LADR_0x0015
LADR_0x0018
ADDWF LRAM_0x20,F
CLRWDT
INCFSZ LRAM_0x21,F
GOTO LADR_0x0015
BTFSC LRAM_0x20,0
GOTO LADR_0x001E
LADR_0x001E
BTFSS LRAM_0x20,1
GOTO LADR_0x0022
NOP
GOTO LADR_0x0022
LADR_0x0022
RETURN
LADR_0x0023
BCF STATUS,IRP
BCF STATUS,RP1 ; !!Bank Register-Bank(2/3)-Select
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
CLRWDT
RETURN
LADR_0x0028
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
MOVLW 0xDB ; b'11011011' d'219'
MOVWF GPIO ; !!Bank!! GPIO - TRISIO
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
MOVLW 0x16 ; b'00010110' d'022'
MOVWF CMCON ; !!Bank!! CMCON - VRCON
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
MOVLW 0xAD ; b'10101101' d'173'
MOVWF CMCON ; !!Bank!! CMCON - VRCON
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BCF GPIO,5 ; !!Bank!! GPIO - TRISIO
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BCF GPIO,5 ; !!Bank!! GPIO - TRISIO
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BCF GPIO,2 ; !!Bank!! GPIO - TRISIO
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BCF GPIO,2 ; !!Bank!! GPIO - TRISIO
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
CLRF LRAM_0x38
CLRF LRAM_0x39
MOVLW 0x03 ; b'00000011' d'003'
MOVWF LRAM_0x23
MOVLW 0xE8 ; b'11101000' d'232'
CALL LADR_0x0002
CLRWDT
BTFSC GPIO,3 ; !!Bank!! GPIO - TRISIO
GOTO LADR_0x0045
CLRF LRAM_0x3A
GOTO LADR_0x0047
LADR_0x0045
CALL LADR_0x00CA
GOTO LADR_0x0092
LADR_0x0047
CLRWDT
BTFSC GPIO,3 ; !!Bank!! GPIO - TRISIO
GOTO LADR_0x0092
INCF LRAM_0x3A,F
CLRWDT
MOVLW 0x1F ; b'00011111' d'031'
SUBWF LRAM_0x3A,W
BTFSS STATUS,C
GOTO LADR_0x007E
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BCF GPIO,4 ; !!Bank!! GPIO - TRISIO
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BCF GPIO,4 ; !!Bank!! GPIO - TRISIO
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BCF GPIO,4 ; !!Bank!! GPIO - TRISIO
MOVLW 0xC8 ; b'11001000' d'200'
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
CALL LADR_0x0001
BSF GPIO,4 ; !!Bank!! GPIO - TRISIO
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BCF GPIO,4 ; !!Bank!! GPIO - TRISIO
MOVLW 0xC8 ; b'11001000' d'200'
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
CALL LADR_0x0001
BCF GPIO,4 ; !!Bank!! GPIO - TRISIO
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BCF GPIO,4 ; !!Bank!! GPIO - TRISIO
MOVLW 0xC8 ; b'11001000' d'200'
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
CALL LADR_0x0001
BSF GPIO,4 ; !!Bank!! GPIO - TRISIO
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BCF GPIO,4 ; !!Bank!! GPIO - TRISIO
MOVLW 0xC8 ; b'11001000' d'200'
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
CALL LADR_0x0001
BCF GPIO,4 ; !!Bank!! GPIO - TRISIO
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BCF GPIO,4 ; !!Bank!! GPIO - TRISIO
MOVLW 0xC8 ; b'11001000' d'200'
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
CALL LADR_0x0001
BSF GPIO,4 ; !!Bank!! GPIO - TRISIO
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BCF GPIO,4 ; !!Bank!! GPIO - TRISIO
MOVLW 0xC8 ; b'11001000' d'200'
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
CALL LADR_0x0001
BCF GPIO,4 ; !!Bank!! GPIO - TRISIO
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BCF GPIO,4 ; !!Bank!! GPIO - TRISIO
BSF GPIO,4 ; !!Bank!! GPIO - TRISIO
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
CLRF LRAM_0x3A
GOTO LADR_0x0047
LADR_0x007E
CALL LADR_0x00D2
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BCF GPIO,4 ; !!Bank!! GPIO - TRISIO
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BSF GPIO,4 ; !!Bank!! GPIO - TRISIO
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BCF GPIO,4 ; !!Bank!! GPIO - TRISIO
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
MOVLW 0x01 ; b'00000001' d'001'
MOVWF LRAM_0x23
MOVLW 0xF4 ; b'11110100' d'244'
CALL LADR_0x0002
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BSF GPIO,4 ; !!Bank!! GPIO - TRISIO
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
MOVLW 0x01 ; b'00000001' d'001'
MOVWF LRAM_0x23
MOVLW 0xF4 ; b'11110100' d'244'
CALL LADR_0x0002
GOTO LADR_0x0047
LADR_0x0092
MOVF LRAM_0x3A,W
MOVWF LRAM_0x38
CLRF LRAM_0x39
LADR_0x0095
BCF CMCON,3 ; !!Bank!! CMCON - VRCON
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BCF GPIO,4 ; !!Bank!! GPIO - TRISIO
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BCF GPIO,4 ; !!Bank!! GPIO - TRISIO
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BCF GPIO,4 ; !!Bank!! GPIO - TRISIO
MOVLW 0x14 ; b'00010100' d'020'
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
CALL LADR_0x0001
LADR_0x009F
CLRWDT
BTFSC CMCON,6 ; !!Bank!! CMCON - VRCON
GOTO LADR_0x00AC
BSF GPIO,5 ; !!Bank!! GPIO - TRISIO
NOP
NOP
NOP
NOP
NOP
BCF GPIO,5 ; !!Bank!! GPIO - TRISIO
NOP
NOP
GOTO LADR_0x009F
LADR_0x00AC
BSF CMCON,3 ; !!Bank!! CMCON - VRCON
BSF GPIO,4 ; !!Bank!! GPIO - TRISIO
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BCF GPIO,4 ; !!Bank!! GPIO - TRISIO
MOVLW 0x14 ; b'00010100' d'020'
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
CALL LADR_0x0001
LADR_0x00B3
CLRWDT
BTFSS CMCON,6 ; !!Bank!! CMCON - VRCON
GOTO LADR_0x0095
CLRWDT
BTFSC GPIO,3 ; !!Bank!! GPIO - TRISIO
GOTO LADR_0x00BA
CALL LADR_0x00BB
LADR_0x00BA
GOTO LADR_0x00B3
LADR_0x00BB
BSF GPIO,2 ; !!Bank!! GPIO - TRISIO
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BCF GPIO,2 ; !!Bank!! GPIO - TRISIO
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
MOVF LRAM_0x39,W
MOVWF LRAM_0x23
MOVF LRAM_0x38,W
CALL LADR_0x0002
BCF GPIO,2 ; !!Bank!! GPIO - TRISIO
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BCF GPIO,2 ; !!Bank!! GPIO - TRISIO
MOVLW 0x14 ; b'00010100' d'020'
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
CALL LADR_0x0001
RETURN
LADR_0x00CA
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
MOVLW 0x0A ; b'00001010' d'010'
MOVWF EEADR ; !!Bank!! Unimplemented - EEADR
BSF EECON1,0 ; !!Bank!! Unimplemented - EECON1
MOVF EEDATA,W ; !!Bank!! Unimplemented - EEDATA
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
MOVWF LRAM_0x3A
RETURN
LADR_0x00D2
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
MOVLW 0x0A ; b'00001010' d'010'
MOVWF EEADR ; !!Bank!! Unimplemented - EEADR
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
MOVF LRAM_0x3A,W
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
MOVWF EEDATA ; !!Bank!! Unimplemented - EEDATA
BSF EECON1,2 ; !!Bank!! Unimplemented - EECON1
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
MOVLW 0x80 ; b'10000000' d'128'
MOVWF INTCON
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
MOVLW 0x55 ; b'01010101' d'085' "U"
MOVWF EECON2 ; !!Bank!! Unimplemented - EECON2
MOVLW 0xAA ; b'10101010' d'170'
MOVWF EECON2 ; !!Bank!! Unimplemented - EECON2
BSF EECON1,1 ; !!Bank!! Unimplemented - EECON1
BCF EECON1,2 ; !!Bank!! Unimplemented - EECON1
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
LADR_0x00E5
CLRWDT
BSF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BCF STATUS,DC
BTFSC EECON1,1 ; !!Bank!! Unimplemented - EECON1
BSF STATUS,DC
BCF STATUS,RP0 ; !!Bank Register-Bank(0/1)-Select
BTFSC STATUS,DC
GOTO LADR_0x00E5
RETURN
LADR_0x00EE
SLEEP
GOTO LADR_0x00EE

End

 

DipTrace принципиальная схема конструктора.jpg

Изменено пользователем Securety
код и таймер
Ссылка на комментарий
Поделиться на другие сайты

Реклама: ООО ТД Промэлектроника, ИНН: 6659197470, Тел: 8 (800) 1000-321

20% скидка на весь каталог электронных компонентов в ТМ Электроникс!

Акция "Лето ближе - цены ниже", успей сделать выгодные покупки!

Плюс весь апрель действует скидка 10% по промокоду APREL24 + 15% кэшбэк и бесплатная доставка!

Перейти на страницу акции

Реклама: ООО ТМ ЭЛЕКТРОНИКС, ИНН: 7806548420, info@tmelectronics.ru, +7(812)4094849

К сожалению родного варианта с описание нет. Но пришел к выводу!

 

Также есть PWRTE — очередной таймер он включен в прошивке.
При активации он будет сбрасывать МК до тех пор, пока питание не поднимется до нужного уровня. Думаю проблема в нём где он зашит? хорошо возможно я его откл. но хотелось бы понять где он в коде и как его менять?

Ссылка на комментарий
Поделиться на другие сайты

Выбираем схему BMS для заряда литий-железофосфатных (LiFePO4) аккумуляторов

Обязательным условием долгой и стабильной работы Li-FePO4-аккумуляторов, в том числе и производства EVE Energy, является применение специализированных BMS-микросхем. Литий-железофосфатные АКБ отличаются такими характеристиками, как высокая многократность циклов заряда-разряда, безопасность, возможность быстрой зарядки, устойчивость к буферному режиму работы и приемлемая стоимость. Но для этих АКБ очень важен контроль процесса заряда и разряда для избегания воздействия внешнего зарядного напряжения после достижения 100% заряда. Инженеры КОМПЭЛ подготовили список таких решений от разных производителей. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

1 минуту назад, Securety сказал:

хотелось бы понять где он в коде...

То есть, код не ваш... Не проще ли сделать питание, как было? Цена вопроса - DC-DC преобразователь из 3,7 в 5,0 за десяток центов...

Ссылка на комментарий
Поделиться на другие сайты

Сравнительное тестирование аккумуляторов EVE Energy и Samsung типоразмера 18650

Инженеры КОМПЭЛ провели сравнительное тестирование аккумуляторов EVE и Samsung популярного для бытовых и индустриальных применений типоразмера 18650. 

Для теста были выбраны аккумуляторы литий-никельмарганцевой системы: по два образца одного наименования каждого производителя – и протестированы на двух значениях тока разряда: 0,5 А и 2,5 А. Испытания проводились в нормальных условиях на электронной нагрузке EBD-USB от ZKEtech, а зарядка осуществлялась от лабораторного источника питания в режиме CC+CV в соответствии с рекомендациями в даташите на определенную модель. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

9 минут назад, Securety сказал:

Также есть PWRTE — очередной таймер он включен в прошивке.

 

9 минут назад, Securety сказал:

Думаю проблема в нём где он зашит? хорошо возможно я его откл. но хотелось бы понять где он в коде и как его менять?

вот здесь

__config 0x3F84
; _CPD_OFF & _CP_OFF & _BODEN_OFF & _MCLRE_OFF & _PWRTE_ON & _WDT_OFF
; & _INTRC_OSC_NOCLKOUT
; __idlocs 0xFF, 0xFF, 0xFF, 0xFF

Изменено пользователем skripach
Ссылка на комментарий
Поделиться на другие сайты

Литиевые аккумуляторы EVE Energy и решения для управления перезаряжаемыми источниками тока (материалы вебинара)

Опубликованы материалы вебинара Компэл, посвященного литиевым аккумуляторам EVE Energy и решениям для управления перезаряжаемыми источниками тока.

На вебинаре мы представили информацию не только по линейкам аккумуляторной продукции EVE, но и по решениям для управления ею, что поможет рассмотреть эти ХИТ в качестве дополнительной альтернативы для уже выпускающихся изделий. Также рассмотрели нюансы работы с производителем и сервисы, предоставляемые Компэл по данной продукции. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

Нет конечно... У меня он есть с 2.7в - 5.в , просто места мало по-этому вместо него проще стабилизатор на 2.5в поставить. Все коды у многих взяты с интернета ведь весь мир не может быть моим так и здесь). Команды pic я читал примерно по коду я вижу что происходит примерно но разбираюсь,  плохо если править что-то. По-этому спрашиваю тыкните носом где это место.

Ссылка на комментарий
Поделиться на другие сайты

Литиевые батарейки и аккумуляторы от мирового лидера  EVE в Компэл

Компания Компэл, официальный дистрибьютор EVE Energy, бренда №1 по производству химических источников тока (ХИТ) в мире, предлагает продукцию EVE как со склада, так и под заказ. Компания EVE широко известна в странах Европы, Америки и Юго-Восточной Азии уже более 20 лет. Недавно EVE была объявлена поставщиком новых аккумуляторных элементов круглого формата для электрических моделей «нового класса» компании BMW.

Продукция EVE предназначена для самого широкого спектра применений – от бытового до промышленного. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

10 минут назад, Alex сказал:

Он не в коде, он в битах конфигурации.

Конфигурацию я на off сделаю. Просто я сомневаюсь что в коде прошивке не заточенно на диапазон 4.8-5.5 в ниже по питанию не работает нечего.

 

 

Изменено пользователем Securety
Ссылка на комментарий
Поделиться на другие сайты

5 минут назад, Securety сказал:

4.8-5.5 в ниже по питанию не работает нечего

Вот чего все сразу за прошивку хватаются? Вы проверили, что полевики, чьи названия старательно затёрты на вашей схеме, открываются при низком напряжении? Скорее всего, у них тупо напряжение открывания 4 - 4,5В. :)

Ссылка на комментарий
Поделиться на другие сайты

26 минут назад, Securety сказал:

плохо если править что-то. По-этому спрашиваю тыкните носом где это место.

Когда нужно разобраться в программе, обкладываюсь справочниками и тупо пишу комментарии буквально в каждой строке листинга - что выполняет та или иная команда (кроме очевидных моментов). Способ нубский и медленный, но работает на 100%.
Тем более, у вас листинг явно не человечий, а результат работы дизассемблера.

"Я не знаю какой там коэффициент, я просто паять умею. "

Ссылка на комментарий
Поделиться на другие сайты

По-этому и Lexter переделываю незачем им дергать полевики лог. Драйверы для этого есть) пусть работает себе мк от низкого напряжения.

 

Alex skripach - вроде верно правы откл. Тестирую пока... просто я удивился откуда таймер знает что работать надо с 4.8 в а не ниже хз)

Так и знал что откл его где-то засада будет, если не трогать кнопку не зажимать то нормально все работает заряжает. Только нажимаешь ошибку даёт похоже привязка есть к

–PWRTE

бит разрешения работы таймера включения питания PWRT

0 – будет производиться выдержка при включении питания

1 – выдержки производиться не будет

Бит разрешения работы таймера включения питания –PWRTE. После включения МК требуется некоторое время для стабилизации частоты кварцевого генератора и стабилизации напряжения. С помощью таймера включения осуществляется задержка на время 72мс (типовое время). В примерах таймер включен.

http://mculab.ru/datasheet/pic/pic16_3.pdf  будем читать похожий текст.

Изменено пользователем Securety
Ссылка на комментарий
Поделиться на другие сайты

Все нормально сейчас все работает немного нужно было еще в proteus поиграться. Сейчас никакой разницы нет от 2.6в работает также номинал резисторов отстается неизменный.

Всем спасибо, остался только у меня еще один вопрос! как можно изменять шим в данный момент частота 71'428.57...Hz (14µS) длительность t=6мкс.

У меня просто smd дросселей полно на разный ток и индуктивность, удобно было бы менять подстраиваться, под нужные параметры dc-dc выходной ток и тд..

Кусок кода:

LADR_0x009F
    CLRWDT
    BTFSC CMCON,6        ; !!Bank!! CMCON - VRCON
    GOTO LADR_0x00AC
    BSF GPIO,5           ; !!Bank!! GPIO - TRISIO
    NOP
    NOP
    NOP
    NOP
    NOP
    BCF GPIO,5           ; !!Bank!! GPIO - TRISIO
    NOP
    NOP
    GOTO LADR_0x009F

Выход из шим возможен только по срабатыванию компаратора.

Для просчёта частоты, достаточно прочитать pdf на контроллер (в частности, раздел INSTRUCTION SET SUMMARY) и включить калькулятор (можно "виндусячий") с функцией "1/х" (для пересчёта времени в частоту).

смотрел всякие проги PICTimerCalculator PIC_Delay 1.8  так и недошло как правильно его или увеличить или уменьшить.

69 страница:

https://static.chipdip.ru/lib/057/DOC000057097.pdf

73 страница

http://www.microchip.ru/files/d-sheets-rus/PIC12F629_675.pdf

Сколько не читал какой-то лес дремучий для меня это показалось :huh:

Ссылка на комментарий
Поделиться на другие сайты

8 часов назад, Securety сказал:

остался только у меня еще один вопрос! как можно изменять шим в данный момент частота 71'428.57...Hz (14µS) длительность t=6мкс.

LADR_0x009F
    CLRWDT ;  +1мкс
    BTFSC CMCON,6        ;  +2мкс
   
GOTO LADR_0x00AC ;
    BSF GPIO,5           ;+1мкс , итого 8мкс ; в конце команды GPIO5=1
    NOP           ;  1мкс
    NOP           ; +1мкс
    NOP          ; +1мкс
    NOP          ; +1мкс
    NOP          ; +1мкс
    BCF GPIO,5           ;  +1мкс , итого 6мкс ;
в конце команды GPIO5=0
    NOP ;  +1мкс
    NOP ;  +1мкс
    GOTO LADR_0x009F ;  +2мкс

ЗЫ вы уже больше года ковыряете это г... , за время можно было с нуля выучить программирование, сменить/взять  камень и написать нормальный код

 

Ссылка на комментарий
Поделиться на другие сайты

Да -  это правда люблю я ковырять долго и разбираться.

Просто я схему всю переделал по-этому пока с этим камнем обкатаю всё глюки и тд.

А так конечно посматриваю в сторону avr.

IMXO - за разжовывание спасибо щас понятно.

Ссылка на комментарий
Поделиться на другие сайты

вопрос не в платформе , а в том что конкретно этот камень не подходит для этой задачи. из 8ми лапых подходит pic12f683 с аппаратным ШИМ, АЦП и таймерами для опроса кнопок или более новые типа 12ф1840

Ссылка на комментарий
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.

Гость
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Ответить в этой теме...

×   Вставлено с форматированием.   Восстановить форматирование

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу
×
×
  • Создать...