Перейти к содержанию

attiny13 обработка кнопок


GUM

Рекомендуемые сообщения

Всем привет! начал осваивать программирование на ассемблере, вопрос такой, написал программу для получения стробоскопического эффекта светодиода. Период, когда он горит - 200микросекунд, период, когда он выключен регулируется от 400 микросекунд и больше. 4 кнопки, 2 из них повышают(груби и точно), другие 2 понижают аналогично. При нажатии на кнопки(после пары нажатий на увеличение) микроконтроллер выдает сплошной 0 на выходе, как я понял за одно нажатие кнопки он ее успевал обработать овер кучу раз, пришлось ввести задержку после обработки кнопки, но теперь понятное дело все вообще не плавно переключается, а чувствуется эта "тупая" задержка после каждого нажатия. Как можно программно изменить этот деффект? при этом оставив кнопки(потом хочу все делать при помощи ацп), но именно сейчас, когда я зеленый, хочу понять, каким образом задержка в данном случае не будет мешать основной программе? Макросы сюда пихать не буду, по комментариям понятно, если будут вопросы, отвечу.

Все проверял, как в протеусе, так и на реальной схеме.

 .include "tn13def.inc";используем attiny13

; RAM ===================================================
.dseg;оперативная память
count: .byte 2;выделяем 2 ячейки памяти для счетчика
compare: .byte 2;выделяем 2 ячейки памяти для периода диода в выключенном состоянии
; END RAM ===============================================

rjmp T0_OVF ; Timer0 Overflow Handler

; Interrupts ==============================================обработчки прерываний
T0_OVF:;обработка перрывания таймера 0 по переполнению
PUSHF;кладем в стек регистр Р16 и SREG
PUSH R17;то же самое р17
INCM count;прибавляем к счетчику 1
POP R17;достаем р17
POPF;и р16 с SREG
RETI;выход из прерывания
; End Interrupts ==========================================

Reset:;предварительные настройки
LDI R16,LOW(RAMEND);инициализация стека
OUT SPL,R16

//инициализация таймера========================================
SETB TIMSK0,TOIE0,R20;разрешаем локальное прерывание таймера 0
OUTI TCCR0B,1<<CS00; запускаем таймер с предделителем 1
LDI R16,0b00010000
UOUT DDRB,R16
LDI R16,0b00001111
UOUT PORTB,R16
SEI;глобальное разрешение прерываний
LDI R16,14;загружаем начальное значение в регистр для периода выклюения светодиода
STS compare,R16;загружаем в оперативную память
//конец инициализации==========================================

; Main =========================================================
Main:;тело цикла/

LDS R16,count;загружаем значение из счетчика
CPI R16,7;дотикало ли до 200мс
BREQ LED_OFF;если да, то переход
M1:
LDS R16,count;загружаем значение таймера
LDS R17,compare;загружаем переменную для определения времени перерыва светодиода
CP R16,R17;натикало нужное значение?
BREQ LED_ON;если да, то включаем светодиод

SBIS PINB,0;если нажата кнопка то повысить нерабочий период светодиода на 200мс
RJMP BT1

SBIS PINB,1;если нажата кнопка, то понизить нерабочий период светодиода на 200мс
RJMP BT2

SBIS PINB,2;если кнопка нажата, то повысить нерабочий период светодиода на 10мс
RJMP BT3

SBIS PINB,3;если кнопка нажата, то понизить нерабочий период светодиода на 10мс
RJMP BT4

LDS R20,count;сброс таймера, если по какой-либо причине
LDS R21,compare;показания стали больше чем в переменной
CP R21,R20; например при понижении периода нерабочего состояния
BRLT L1

RJMP MAIN;все сначала
; End Main =====================================================

BT1:
LDS R18,compare;грубое повышение
SUBI R18,(-7)
STS compare,R18

B0:;злосчастная задержка
LDI R18,4
CLR R16
CLR R17
B1:
Dec R17
BRNE B1
dec R16
BRNE B1
Dec R18
BRNE B1

RJMP Main

BT2:
LDS R18,compare;грубое снижение
SUBI R18,7
STS compare,R18

RJMP B0
//RJMP Main

BT3:
LDS R18,compare;точное повышение
SUBI R18,(-1)
STS compare,R18
RJMP B0

BT4:
LDS R18,compare;точное снижение
SUBI R18,1
STS compare,R18
RJMP B0

LED_OFF:
CLRB PORTB,4,R16;выключаем светодиод
RJMP M1;возвращаемся обратно

LED_ON:;включение светодиода
SETB PORTB,4,R16;перестаем подавать питание
L1:
CLI;запрет прерываний
CLR R16;нужен 0
STS count,R16;обнуляем счетчик в оперативке
STS count+1,R16
OUT TCNT0,R16;обнуляем регистр таймера
SEI;разрешаем прерывание
RJMP MAIN;переход обратно


.eseg;еепром 

 

Всем откликнувшимся спасибо! Напоминаю, только начал осваивать, могут быть нелогичные моменты.

Ссылка на комментарий
Поделиться на другие сайты

Реклама: ООО ТД Промэлектроника, ИНН: 6659197470, Тел: 8 (800) 1000-321

Обычно для того,чтобы не пропустить нажатие кнопки используют прерывания.В обработчике прерываний прописывают действие при нажатии кнопки,или поднимают флаг.Если используется флаг то выполнение действий при нажатии кнопки прописывают в основном цикле.Например:

нажимаем кнопку

в обработчике прерываний поднимается флаг А (А=1)

если флаг А поднят(А=1) то

увеличиваем время свечения светодиода на 50 микросекунд

сбрасываем флаг(А=0)

Ссылка на комментарий
Поделиться на другие сайты

20% скидка на весь каталог электронных компонентов в ТМ Электроникс!

Акция "Лето ближе - цены ниже", успей сделать выгодные покупки!

Плюс весь апрель действует скидка 10% по промокоду APREL24 + 15% кэшбэк и бесплатная доставка!

Перейти на страницу акции

Реклама: ООО ТМ ЭЛЕКТРОНИКС, ИНН: 7806548420, info@tmelectronics.ru, +7(812)4094849

Давно не писал на ассемблере. Посмотрите в примерах, как должна выглядеть таблица векторов прерываний. В даташите она выглядит так

5c4ebe4b22d23_.jpg.1bb42bb39e721105ff4160fbf6d2a8a8.jpg

Неиспользуемые вектора можно заменить на rjmp RESET. Должно быть приблизительно так.

rjmp RESET
rjmp RESET
rjmp RESET
rjmp TIM0_OVF
Ссылка на комментарий
Поделиться на другие сайты

Выбираем схему BMS для корректной работы литий-железофосфатных (LiFePO4) аккумуляторов

 Обязательным условием долгой и стабильной работы Li-FePO4-аккумуляторов, в том числе и производства EVE Energy, является применение специализированных BMS-микросхем. Литий-железофосфатные АКБ отличаются такими характеристиками, как высокая многократность циклов заряда-разряда, безопасность, возможность быстрой зарядки, устойчивость к буферному режиму работы и приемлемая стоимость. Но для этих АКБ, также как и для других, очень важен контроль процесса заряда и разряда, а специализированных микросхем для этого вида аккумуляторов не так много. Инженеры КОМПЭЛ подготовили список имеющихся микросхем и возможных решений от разных производителей. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

21 час назад, GUM сказал:

CP R16,R17;натикало нужное значение?

надо завести еще одну переменную для отсчета задержки по срабатыванию кнопки, и

если эта задержка равна нулю, опрашивать кнопки,

по срабатыванию кнопки присвоить этой переменной 50 мс,

если эта задержка НЕ РАВНА нулю, НЕ опрашивать кнопки, а декрементировать задержку (как в вашем случае считать значение декремента вам надо разобраться самому что бы получилось эти 50мс игногирования кнопок).

То есть

после срабатывания заменить процедуру задержки

21 час назад, GUM сказал:

B0:;злосчастная задержка

на инициализацию переменной-задержки,

и проверять эту задержку после проверки задержки на управление светодиодом - получится "как бы" параллельно за счет скорости работы процессора.

Изменено пользователем ruhi
опечатка

Можно сделать все! Но чем больше можно, тем больше нельзя!

Ссылка на комментарий
Поделиться на другие сайты

2 часа назад, proekt07 сказал:

Обычно для того,чтобы не пропустить нажатие кнопки используют прерывания.В обработчике прерываний прописывают действие при нажатии кнопки,или поднимают флаг.Если используется флаг то выполнение действий при нажатии кнопки прописывают в основном цикле.Например:

нажимаем кнопку

в обработчике прерываний поднимается флаг А (А=1)

если флаг А поднят(А=1) то

увеличиваем время свечения светодиода на 50 микросекунд

сбрасываем флаг(А=0)

все наоборот) нажатие кнопки не пропускается) если убрать задержку, то при нажатии на кнопку она программно нажимает огромное количество раз, хотя в реальности я просто тыкнул ее пальцем разок)

Ссылка на комментарий
Поделиться на другие сайты

2 часа назад, snn_krs сказал:

Давно не писал на ассемблере. Посмотрите в примерах, как должна выглядеть таблица векторов прерываний. В даташите она выглядит так

5c4ebe4b22d23_.jpg.1bb42bb39e721105ff4160fbf6d2a8a8.jpg

Неиспользуемые вектора можно заменить на rjmp RESET. Должно быть приблизительно так.


rjmp RESET
rjmp RESET
rjmp RESET
rjmp TIM0_OVF

Прошу прощения, что ввел вас в заблуждение) я намеренно не тстал сюда копировать таблицу прерываний, она у меня аналогична то, что вы привели. С ней все в порядке)

Ссылка на комментарий
Поделиться на другие сайты

1 час назад, ruhi сказал:

надо завести еще одну переменную для отсчета задержки по срабатыванию кнопки, и

если эта задержка равна нулю, опрашивать кнопки,

по срабатыванию кнопки присвоить этой переменной 50 мс,

если эта задержка НЕ РАВНА нулю, НЕ опрашивать кнопки, а декрементировать задержку (как в вашем случае считать значение декремента вам надо разобраться самому что бы получилось эти 50мс игногирования кнопок).

То есть

после срабатывания заменить процедуру задержки

на инициализацию переменной-задержки,

и проверять эту задержку после проверки задержки на управление светодиодом - получится "как бы" параллельно за счет скорости работы процессора.

А вот это очень даже решение проблемы) учусь по статьям Di Halta, он так же всегда советовал не давать тупить мк, а все задержки в основной цикл пихать, по факту мне надо переместить цикл задержки перед опросом кнопок и все) больше спасибо!

Ссылка на комментарий
Поделиться на другие сайты

22 часа назад, GUM сказал:

мне надо переместить цикл задержки перед опросом кнопок

я бы так не формулировал "переместить цикл задержки" , Вот как то так надо:

считать два типа задержки: одна для ШИМ (переключения ноги);

другая для запрета опроса кнопок (когда она появляется)

в основном цикле.

И не "все задержки в основной цикл пихать", а

"все задержки в основном цикле считать-проверять"

Приятно помогать понимающему(вникающему в суть) человеку.

Можно сделать все! Но чем больше можно, тем больше нельзя!

Ссылка на комментарий
Поделиться на другие сайты

22 часа назад, GUM сказал:

все наоборот) нажатие кнопки не пропускается) если убрать задержку, то при нажатии на кнопку она программно нажимает огромное количество раз, хотя в реальности я просто тыкнул ее пальцем разок)

Именно.Дребезг кнопки заставляет программу считать,что кнопку нажали много раз подряд ,чтобы этого не было кнопки и заводят на прерывание. При срабатывании прерывания поднимается флаг прерывания,выполняется подпрограмма прерывания,флаг сбрасывается и т.д. Чтобы дребезг не давал ненужных срабатываний прерывания(поднятия флага прерывания),флаг можно сбрасывать руками,прописывая в регистр GIFR единицу в нужный бит.Тогда у вас будет одно нажатие кнопки-один шаг работы того,что вы назначили при нажатии кнопки(например,увеличение длительности свечения светодиода на 50 микросек.)Чем больше задержек в основном цикле-тем больше "тормозов",а в обработчике прерываний крайне желательно не делать задержек совсем.

 

int.JPG

Ссылка на комментарий
Поделиться на другие сайты

35 минут назад, proekt07 сказал:

Именно.Дребезг кнопки заставляет программу считать,что кнопку нажали много раз подряд ,чтобы этого не было кнопки и заводят на прерывание.

 прерывание от ногадрыга нужно в двух случаях первый когда нужно обработать импульсы с высокой частотой , второй для вывода тела из сна. Для обработки нажатия кнопок он нахрен не нужен, мало того он вреден по своей сути , именно по причине дребезга контактов. Всего-то нужен тупой опрос по таймеру и нормальный обработчик состояния.

Ссылка на комментарий
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.

Гость
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Ответить в этой теме...

×   Вставлено с форматированием.   Восстановить форматирование

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу
  • Сообщения

    • У меня больше всего вопросов вызвала необычная схема обеспечения отрицательного питания. Автор этой обстоятельной заметки пишет: For this supply to work correctly, the transformer must have a secondary voltage of at least 18V RMS.  Почему? Что будет не так с отрицательным питанием, если напряжение на трансформаторе будет меньше 18В?   https://tinyurl.com/23mlwxtt - я в простейшей эмуляции ставлю 12В пикового напряжения для трансформатора и на стабилитроне все как положено: -5.6В.
    • Согласен, очень криво объяснил. Это работа трёх вольтовой линии, просто на диод шотки сдвоенный, на один анод приходит сигнал напрямую с трансформатора, а на второй через дроссель. Вольт/деление 5 вольт в клетке, тайминг по моему 10 МС. Третья фотография это сигнал на катодах уровень земли ровно по центру экрана. Но все линии по итогу в порядке 3.3 в, 5, в, 12 в и -12 в. Нагрузить все линии не могу сразу ,так как тут же выгорают транзисторы (имеется нагрузка 250 ватт по 10 ампер на каждую линию за исключением-12в), поэтому нагружаю 3.3 вольтовую линию на 10 ампер,  подключаю переменный резистор 50 ватт на 15 ом на 5 вольтовую линию и постепенно довожу до той той картины с перекосом (это гдето  50 ватт общее). По поводу микросхемы, вверху имеется скрин где между импульсами проскакивает мини импульс, если так можно сказать, он проскакивает и на одной  и на второй ноге (7,8). Микросхема не tl 494, а lw4933/abx942.1/c9421646. Далее они приходят на базы транзисторов 945g  коллекторы этих транзисторов соединены с  выводами трансформатора. Просто схема типовая, легче мне кажется просто привести фото самого блока, для тех кто разбирается будет гораздо информативне.  Диод шотки по 12 вольтовой линии был подгоревший, заменил на донора. Приводить скрины не буду что бы не захламлять тему. В итоге, пока все так же, при достижении определенной нагрузки суммарно где-то 50 ватт, появляется этот "выброс и перекос". По этому имеются мысли на два варианта, это микросхема , этот мини импульс между периодами, на низкой нагрузке особо не влияет, но при достижении определенной приводит с самовозбуждению входной цепи и непроизвольному открытию транзистора нижнего плеча. Либо дело в "горячей части", плавающий дефект в обвязке силовых ключей.  Спасибо за ответ.
    • @Gomerchik а вы контролировали как меняется уровень сигнала на А1 ардуины?
    • Спасибо за совет. Автором данного проекта я не являюсь, мне нужно было воссоздать уличный датчик для метеостанции взамен пропавшего(( Из разного найденного в интернете этот проект работает с моей станцией Орегон (спасибо автору). В понедельник попробую последовать Вашему совету. Но все равно куча непоняток  как блин это работает)) Если дело в неправильной отправки команды, то как на это влияет подключение датчика температуры? Если совсем не подключать таймер, то передача идет один раз (как и прописано в программе), станция принимает и отображает, но минут через сколько-то естественно станция уже ни чего не показывает, но с таймером питание полностью не пропадает с ардуинки, но передача сигнала каким-то образом работает по таймеру.  В моем понимании данная команда подается один раз потому, что таймер должен отключать питание МК после передачи сигнала и каждые 43 сек снова подавать питание (так того требует станция).  Ардуино передает показания температуры отключается полностью и 43 секунды мк не работает.  Сейчас у меня питание пока сделано на подпитке от солнечной батареи, но пару пасмурных дней и аккумулятор съедается до отключения(
    • thickman Так и сделаю. Вытащу из бу БП.  Буду знать, как отличить. Благодарю. Заменил транзисторы на IRFB20N50K. Картина стала, совсем другой.  Похоже трудность не в драйвере, на момент подвозбуда, переходные процессы, в нем, завершены. Увеличил затворные резисторы до 50ом, стало немного лучше.  Не понятно, почему верхний ключ греется несколько сильнее. Возможно, стоит посмотреть ток в коллекторе.  Снабберные емкости временно удалил, изменений не произошло.  Замена ТГР на другой, на кольце MSTN-16A-TH, так же, результата не принесла.   irfb20n50k.pdf
    • А что нить из ассортимента активных щупов производства СССР..))
×
×
  • Создать...