Перейти к содержанию

STM32F103 ШИМ, АЦП, таймер


strifonoff

Рекомендуемые сообщения

Вопрос по ШИМ.
Если у PA3 альтернативная функция TIM2_CH4, то для ШИМ его ремапить не надо?

  GPIOA->CRL &= ~GPIO_CRL_MODE3;
  GPIOA->CRL |= GPIO_CRL_MODE3_1;
  
  TIM2->PSC = 0;
  TIM2->ARR = 1800-1;
  TIM2->CCR4 = 900;
  TIM2->BDTR |= TIM_BDTR_MOE;
  TIM2->CCMR2 = TIM_CCMR2_OC4M_2 | TIM_CCMR2_OC4M_1;
  TIM2->CCER |= TIM_CCER_CC4E;
  TIM2->CR1 |= TIM_CR1_CEN;

Этот код верный?

Тактирование включено так:
RCC->APB2ENR |= RCC_APB2ENR_IOPAEN;
RCC->APB2ENR |= RCC_APB2ENR_AFIOEN;

Изменено пользователем strifonoff
Ссылка на комментарий
Поделиться на другие сайты

Реклама: ООО ТД Промэлектроника, ИНН: 6659197470, Тел: 8 (800) 1000-321

20% скидка на весь каталог электронных компонентов в ТМ Электроникс!

Акция "Лето ближе - цены ниже", успей сделать выгодные покупки!

Плюс весь апрель действует скидка 10% по промокоду APREL24 + 15% кэшбэк и бесплатная доставка!

Перейти на страницу акции

Реклама: ООО ТМ ЭЛЕКТРОНИКС, ИНН: 7806548420, info@tmelectronics.ru, +7(812)4094849

Выбираем схему BMS для корректной работы литий-железофосфатных (LiFePO4) аккумуляторов

 Обязательным условием долгой и стабильной работы Li-FePO4-аккумуляторов, в том числе и производства EVE Energy, является применение специализированных BMS-микросхем. Литий-железофосфатные АКБ отличаются такими характеристиками, как высокая многократность циклов заряда-разряда, безопасность, возможность быстрой зарядки, устойчивость к буферному режиму работы и приемлемая стоимость. Но для этих АКБ, также как и для других, очень важен контроль процесса заряда и разряда, а специализированных микросхем для этого вида аккумуляторов не так много. Инженеры КОМПЭЛ подготовили список имеющихся микросхем и возможных решений от разных производителей. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

25.09.2020 в 10:13, strifonoff сказал:

Опять же, в тему примеров из интернета. Ни в одном примере не было сказано, что нужно включать глобальные прерывания.

А это потому что их не нужно включать.

Ссылка на комментарий
Поделиться на другие сайты

2 часа назад, BARS_ сказал:

Нужно оба бита MODE выставлять

 


TIM2->BDTR |= TIM_BDTR_MOE;

Не требуется в принципе.

А зачем мне 50MHz, или я не о том думаю.

BDTR в мануале для таймеров кроме 1-го не нашел в принципе...

Ссылка на комментарий
Поделиться на другие сайты

вопрос по ШИМ, про старт и останов.
как я понял генерация ШИМ определяется работой таймера на котором ШИМ висит, в моём случае это TIM2_CH4 (нога PA3). 
т.е. TIM2->CR1 |= TIM_CR1_CEN стартует, TIM2->CR1 ?= ~TIM_CR1_CEN останавливает. Но там же есть счётчик, который сверяется с регистром CCR4, этот счётчик нужно обнулить, а какой регистр за это отвечает? Я что-то запутался.

Ссылка на комментарий
Поделиться на другие сайты

@strifonoff  Если условия удовлетворяют можно просто в CCR4 записать значение больше ARR, т.е. если выход при апдейте в нужном состоянии, то можно и так и не нужно останавливать таймер. Можно остановить, обнулить, форсированно перевести выход ШИМ в нужное состояние.  

@strifonoff почитай http://dimoon.ru/category/obuchalka  https://hubstub.ru/stm32/

Изменено пользователем MasterElectric
Ссылка на комментарий
Поделиться на другие сайты

18 часов назад, strifonoff сказал:

Его и надо будет обнулить?

По желанию. В момент остановки таймера в этом регистре останется то, до чего таймер успел досчитать.

Ссылка на комментарий
Поделиться на другие сайты

Подскажите по режиму StandBy.
Пишут, что выход из него аналогичен сбросу. Это значит что он начинает снова с точки входа main или всё-таки с места где его усыпили/разбудили?

Ссылка на комментарий
Поделиться на другие сайты

А ещё вопрос. по ШИМ. как настроить ШИМ на определенное время выполнения используя тот же таймер? 
Сделать прерывание на том же таймере и там считать?

С этим разобрался, как думал так и сделал.

Изменено пользователем strifonoff
Ссылка на комментарий
Поделиться на другие сайты

Но что-то пошло не так.

Совсем не могу понять что именно

#include "stm32f10x.h"
#define BUF_SIZE 1000

void Init_RCC(void);
void Init_TIM4(void);
void Init_ADC1(void);
void Init_ADC2(void);
void Init_ADC_DMA(void);
void Init_PWM(void);
void Init_USART1(void);
void _delay_10(void);

vu16 _timer_pwm;
vu8 WorkSensor;
vu8 WorkADC;
vu32 _tim4cnt;
vu8 _timer;
vu32 _timer_hour;
vu16 ADC_Buf[BUF_SIZE] = {0};

int main()
{
  SystemInit();
  Init_RCC();
  Init_TIM4();
//  Init_ADC2();
//  Init_USART1();
//  __enable_irq();
  
  while(1){
    _timer_hour = 0;
    for(u8 i=0; i<5; i++){
      Init_ADC1();
      Init_PWM();
      _timer = 0;
      TIM4->CNT = 0;

      WorkADC=1;
      WorkSensor = 1;

      _timer_pwm = 0;

      TIM2->CNT = 0; 
      DMA1_Channel1->CCR |= DMA_CCR1_EN;
      ADC1->CR2 |= ADC_CR2_SWSTART;
      TIM2->CR1 |= TIM_CR1_CEN;

      while (WorkSensor) {}
      TIM2->CR1 &= ~TIM_CR1_CEN;

      while(WorkADC) {}
      ADC1->CR2 &= ~ADC_CR2_SWSTART;
      
      while(_timer) {} // wait for next measurement
    }
    // math + usart
    while(_timer_hour) {} // wait 1 hour
  }
}

void Init_RCC(void){
  RCC->AHBENR  |= RCC_AHBENR_DMA1EN;    // DMA1 
  RCC->APB1ENR |= RCC_APB1ENR_TIM2EN;   // TIM2 
  RCC->APB1ENR |= RCC_APB1ENR_TIM4EN;   // TIM4 
  RCC->APB2ENR |= RCC_APB2ENR_IOPAEN;   // GPIOA
  RCC->APB2ENR |= RCC_APB2ENR_AFIOEN;   // AF IO
  RCC->APB2ENR |= RCC_APB2ENR_ADC1EN;   // ADC1
  RCC->APB2ENR |= RCC_APB2ENR_ADC2EN;   // ADC2
  RCC->CFGR    |= RCC_CFGR_ADCPRE_DIV6; // ADC clock prescaler (/6) 12 MHz
  RCC->APB2ENR |= RCC_APB2ENR_USART1EN;
}

void Init_TIM4(void){

  TIM4->PSC = 3600-1;
  TIM4->ARR = 10-1;
  TIM4->DIER |= TIM_DIER_UIE;
  TIM4->CR1 |= TIM_CR1_CEN;
  NVIC_EnableIRQ(TIM4_IRQn);
  
}

void TIM2_IRQHandler(void){
  if(TIM2->SR & TIM_SR_UIF){
    _timer_pwm++;
    if (_timer_pwm == 20) {
      WorkSensor = 0;
    }
    TIM2->SR &= ~TIM_SR_UIF;
  }
}

void TIM4_IRQHandler(void){
  if(TIM4->SR & TIM_SR_UIF){
    _timer = (250-_timer) ? _timer+1 : 0;
    _timer_hour = (3600000-_timer_hour) ? _timer_hour+1 : 0;
    TIM4->SR &= ~TIM_SR_UIF;
  }
}

void DMA1_Channel1_IRQHandler(void){
  if(DMA1->ISR & DMA_ISR_TCIF1){
    DMA1_Channel1->CCR &= ~DMA_CCR1_EN;
    DMA1->IFCR |= DMA_IFCR_CTCIF1;
    WorkADC=0;
  }
}

void Init_ADC_DMA(void){
  DMA1_Channel1->CCR = 0;
  DMA1_Channel1->CPAR = (uint32_t) &ADC1->DR; 
  DMA1_Channel1->CMAR = (uint32_t) &ADC_Buf[0];
  DMA1_Channel1->CNDTR = BUF_SIZE;
  DMA1_Channel1->CCR |= DMA_CCR1_MINC | DMA_CCR1_MSIZE_1 | DMA_CCR1_PSIZE_1 | DMA_CCR1_TCIE;
  NVIC_EnableIRQ (DMA1_Channel1_IRQn);
}

void Init_ADC1(void){

  GPIOA->CRL &= ~GPIO_CRL_MODE1;
  GPIOA->CRL &= ~GPIO_CRL_CNF1;

  Init_ADC_DMA();	

  // 
  ADC1->SQR1 = 0;
  ADC1->SQR3 |= 1;
  ADC1->CR2 |= ADC_CR2_CONT;
  ADC1->CR1 &= ~ADC_CR1_SCAN;
  ADC1->CR2 |= ADC_CR2_EXTSEL | ADC_CR2_EXTTRIG | ADC_CR2_DMA;
  ADC1->CR2 |= ADC_CR2_ADON;
  
  _delay_10();
  
  ADC1->CR2 |= ADC_CR2_CAL;
  while (!(ADC1->CR2 & ADC_CR2_CAL)){};
}

void Init_PWM(void){
  
  GPIOA->CRL &= ~GPIO_CRL_MODE3;
  GPIOA->CRL |= GPIO_CRL_MODE3_1;
  GPIOA->CRL &= ~GPIO_CRL_CNF3;
  GPIOA->CRL |= GPIO_CRL_CNF3_1;
  
  TIM2->PSC = 9-1;
  TIM2->ARR = 500-1;
  TIM2->CCR4 = 250;
  TIM2->CR1 |= TIM_CR1_ARPE;
  TIM2->CCER |= TIM_CCER_CC4E;
  TIM2->CCMR2 |= (TIM_CCMR2_OC4M_2 | TIM_CCMR2_OC4M_1);
  TIM2->DIER |= TIM_DIER_UIE;
  NVIC_EnableIRQ(TIM2_IRQn);
//  TIM2->CR1 |= TIM_CR1_CEN;
}

void Init_ADC2(void){
  
  GPIOA->CRL &= ~GPIO_CRL_MODE2;
  GPIOA->CRL &= ~GPIO_CRL_CNF2;
  
  ADC2->SQR1 = 0;
  ADC2->SQR3 |= 2;
  ADC2->CR2 |= ADC_CR2_EXTSEL | ADC_CR2_EXTTRIG;
  
  ADC2->CR2 |= ADC_CR2_ADON;
  _delay_10();
  ADC2->CR2 |= ADC_CR2_CAL;
  while (!(ADC2->CR2 & ADC_CR2_CAL)){};
  
}

void Init_USART1(void) {
  //A9  - TX
  //A10 - RX
  
  GPIOA->CRH &= ~(GPIO_CRH_MODE9 | GPIO_CRH_MODE10);
  GPIOA->CRH &= ~(GPIO_CRH_CNF9 | GPIO_CRH_CNF10);
  GPIOA->CRH |= (GPIO_CRH_CNF9_1 | GPIO_CRH_MODE9_0);   // PA9 output 10 MHz, AFPP
  GPIOA->CRH |= GPIO_CRH_CNF10_1;                       // PA10 input
  GPIOA->BSRR |= GPIO_ODR_ODR10;                        
  USART1->CR1 = USART_CR1_UE;
  USART1->BRR = 7500;
  USART1->CR1 |= USART_CR1_TE | USART_CR1_RE ;
  USART1->CR2 = 0;
  USART1->CR3 = 0;
}

void _delay_10(void){
  for(u8 i=0;i<10;i++) {}
}

Вот код. Работает не так как я хочу.
Мне надо запустить "одновременно" ШИМ и АЦП. ШИМ на 500 мкс, АЦП на 1000 измерений (это 1.17 мс получается).
Но по отладке вижу, что ДМА в массив ADC_Buf записывает только 18 значений, причем через одну. (может где-то с размерами напутал?)
ЧЯДНТ?

Ссылка на комментарий
Поделиться на другие сайты

Поймал какую-то странность.
ШИМ делает 20 импульсов в частотой 40 кГц и скважностью 50%. АЦП работает с начала работы таймера ШИМ и до 1000 измерений.

Подключил напрямую ногу ШИМ и ногу АЦП, и получил следующую картину:
перед первым импульсом и после последнего АЦП ловит короткие (2 мкс) всплески, в массиве поучается что-то типа:
4095,4095,0,0,4095....тут все нормально, импульсы ШИМ идут поочерёдно, с заданной скважностью, после последнего импульса идёт низкий уровень и потом ещё 2 раза 4095 и опять нули до конца измерений.  

TIM3->CNT = 0;
TIM3->CR1 |= TIM_CR1_CEN;
ADC1->CR2 |= ADC_CR2_SWSTART;

while (_timer_pwm) {}
//TIM3->CNT = TIM3->CCR2+1;
TIM3->CCR2 = 0;
TIM3->CR1 &= ~TIM_CR1_CEN;

while(_work.adc) {}
ADC1->CR2 &= ~ADC_CR2_ADON;

_timer_pwm декрементируется в обработчике прерывания счётчика TIM3

Что за фича такая? Как убрать эти "всплески"? Почему ШИМ не начинает работать сразу?

Инициализация ШИМ:

RCC->APB1RSTR |= RCC_APB1RSTR_TIM3RST;
RCC->APB1RSTR &= ~RCC_APB1RSTR_TIM3RST;

GPIOA->CRL |= GPIO_CRL_MODE7;
GPIOA->CRL &= ~GPIO_CRL_CNF7;
GPIOA->CRL |= GPIO_CRL_CNF7_1;

uint32_t freq = 56000000;
uint32_t f = 40000;
uint32_t arr = 200;
uint32_t ccr = arr/2;

TIM3->PSC = freq/arr/f-1;
TIM3->ARR = arr-1;
TIM3->CCR2 = ccr;
TIM3->CR1 |= TIM_CR1_ARPE;
TIM3->CCER |= TIM_CCER_CC2E;
TIM3->CCMR1 |= (TIM_CCMR1_OC2M_2 | TIM_CCMR1_OC2M_1);
TIM3->DIER |= TIM_DIER_UIE;
NVIC_EnableIRQ(TIM3_IRQn);

 

Ссылка на комментарий
Поделиться на другие сайты

Перенёс выключение ШИМ в другое прерывание (TIM_DIER_CC2IE). Хвост пропал. 

Но "всплеск" в начале остался... Есть идеи как его убрать?

Изменено пользователем strifonoff
Ссылка на комментарий
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.

Гость
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Ответить в этой теме...

×   Вставлено с форматированием.   Восстановить форматирование

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу
  • Сообщения

    • У меня больше всего вопросов вызвала необычная схема обеспечения отрицательного питания. Автор этой обстоятельной заметки пишет: For this supply to work correctly, the transformer must have a secondary voltage of at least 18V RMS.  Почему? Что будет не так с отрицательным питанием, если напряжение на трансформаторе будет меньше 18В?   https://tinyurl.com/23mlwxtt - я в простейшей эмуляции ставлю 12В пикового напряжения для трансформатора и на стабилитроне все как положено: -5.6В.
    • Согласен, очень криво объяснил. Это работа трёх вольтовой линии, просто на диод шотки сдвоенный, на один анод приходит сигнал напрямую с трансформатора, а на второй через дроссель. Вольт/деление 5 вольт в клетке, тайминг по моему 10 МС. Третья фотография это сигнал на катодах уровень земли ровно по центру экрана. Но все линии по итогу в порядке 3.3 в, 5, в, 12 в и -12 в. Нагрузить все линии не могу сразу ,так как тут же выгорают транзисторы (имеется нагрузка 250 ватт по 10 ампер на каждую линию за исключением-12в), поэтому нагружаю 3.3 вольтовую линию на 10 ампер,  подключаю переменный резистор 50 ватт на 15 ом на 5 вольтовую линию и постепенно довожу до той той картины с перекосом (это гдето  50 ватт общее). По поводу микросхемы, вверху имеется скрин где между импульсами проскакивает мини импульс, если так можно сказать, он проскакивает и на одной  и на второй ноге (7,8). Микросхема не tl 494, а lw4933/abx942.1/c9421646. Далее они приходят на базы транзисторов 945g  коллекторы этих транзисторов соединены с  выводами трансформатора. Просто схема типовая, легче мне кажется просто привести фото самого блока, для тех кто разбирается будет гораздо информативне.  Диод шотки по 12 вольтовой линии был подгоревший, заменил на донора. Приводить скрины не буду что бы не захламлять тему. В итоге, пока все так же, при достижении определенной нагрузки суммарно где-то 50 ватт, появляется этот "выброс и перекос". По этому имеются мысли на два варианта, это микросхема , этот мини импульс между периодами, на низкой нагрузке особо не влияет, но при достижении определенной приводит с самовозбуждению входной цепи и непроизвольному открытию транзистора нижнего плеча. Либо дело в "горячей части", плавающий дефект в обвязке силовых ключей.  Спасибо за ответ.
    • @Gomerchik а вы контролировали как меняется уровень сигнала на А1 ардуины?
    • Спасибо за совет. Автором данного проекта я не являюсь, мне нужно было воссоздать уличный датчик для метеостанции взамен пропавшего(( Из разного найденного в интернете этот проект работает с моей станцией Орегон (спасибо автору). В понедельник попробую последовать Вашему совету. Но все равно куча непоняток  как блин это работает)) Если дело в неправильной отправки команды, то как на это влияет подключение датчика температуры? Если совсем не подключать таймер, то передача идет один раз (как и прописано в программе), станция принимает и отображает, но минут через сколько-то естественно станция уже ни чего не показывает, но с таймером питание полностью не пропадает с ардуинки, но передача сигнала каким-то образом работает по таймеру.  В моем понимании данная команда подается один раз потому, что таймер должен отключать питание МК после передачи сигнала и каждые 43 сек снова подавать питание (так того требует станция).  Ардуино передает показания температуры отключается полностью и 43 секунды мк не работает.  Сейчас у меня питание пока сделано на подпитке от солнечной батареи, но пару пасмурных дней и аккумулятор съедается до отключения(
    • thickman Так и сделаю. Вытащу из бу БП.  Буду знать, как отличить. Благодарю. Заменил транзисторы на IRFB20N50K. Картина стала, совсем другой.  Похоже трудность не в драйвере, на момент подвозбуда, переходные процессы, в нем, завершены. Увеличил затворные резисторы до 50ом, стало немного лучше.  Не понятно, почему верхний ключ греется несколько сильнее. Возможно, стоит посмотреть ток в коллекторе.  Снабберные емкости временно удалил, изменений не произошло.  Замена ТГР на другой, на кольце MSTN-16A-TH, так же, результата не принесла.   irfb20n50k.pdf
    • А что нить из ассортимента активных щупов производства СССР..))
×
×
  • Создать...