Перейти к содержанию

Контроллер VFD PT6302. Как бы подключить к МК


Рекомендуемые сообщения

Реклама: ООО ТД Промэлектроника, ИНН: 6659197470, Тел: 8 (800) 1000-321

  • Ответов 63
  • Создана
  • Последний ответ

Топ авторов темы

20% скидка на весь каталог электронных компонентов в ТМ Электроникс!

Акция "Лето ближе - цены ниже", успей сделать выгодные покупки!

Плюс весь апрель действует скидка 10% по промокоду APREL24 + 15% кэшбэк и бесплатная доставка!

Перейти на страницу акции

Реклама: ООО ТМ ЭЛЕКТРОНИКС, ИНН: 7806548420, info@tmelectronics.ru, +7(812)4094849

Выбираем схему BMS для корректной работы литий-железофосфатных (LiFePO4) аккумуляторов

 Обязательным условием долгой и стабильной работы Li-FePO4-аккумуляторов, в том числе и производства EVE Energy, является применение специализированных BMS-микросхем. Литий-железофосфатные АКБ отличаются такими характеристиками, как высокая многократность циклов заряда-разряда, безопасность, возможность быстрой зарядки, устойчивость к буферному режиму работы и приемлемая стоимость. Но для этих АКБ, также как и для других, очень важен контроль процесса заряда и разряда, а специализированных микросхем для этого вида аккумуляторов не так много. Инженеры КОМПЭЛ подготовили список имеющихся микросхем и возможных решений от разных производителей. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

А вот эта штука: for(i=0;i<16;i++) { pt6302_ser_out(0); }, это что? Это, как я понял отсюда, повторить ноль  16 раз?

А можно просто выставить на пин sdi  ноль, и подождать 16 тактов? Зачем пустой цикл крутить?

Изменено пользователем Иван Долинин
Ссылка на комментарий
Поделиться на другие сайты

У вас уже готова функция отсылки байта pt6302_ser_out(0), вот ее и крутите 16 раз (там же ноги еще дрыгаются).

Я так понимаю это заполнение кастомным символом (горят все пины) всех 16 сегментов.

Изменено пользователем korsaj
Ссылка на комментарий
Поделиться на другие сайты

cs_pin		bit	P0.0
clk_pin		bit	P0.1
sdi_pin		bit	P0.2

temp		equ		0x20

			org		0000h

vfd_init:		orl		P0, #001h				; STB_HI;
			call		delay200ms				; _delay_ms(200)

;// set GPO pins to low

			anl		P0, #0FEh				; STB_LO:
			mov		temp, #01000000B			; pt6302_ser_out(0b01000000)
			call		data_shout				; 
			call		delay_tcsh				; delay_tcsh
			orl		P0, #001h				; STB_HI;

;// Configure VFD display (grids)

			anl		P0, #0FEh				; STB_LO:
			mov		temp, #01100110B			; pt6302_ser_out(0b01100110); //14 grids)
			call		data_shout				; 
			call		delay_tcsh				; delay_tcsh
			orl		P0, #001h				; STB_HI;

;// set DIMM/PWM to value

			anl		P0, #0FEh				; STB_LO:
			mov		temp, #01010000B			; pt6302_ser_out(0b01010000 | 7)
			orl		temp, #007h
			call		data_shout				; 0 min - 7 max
			call		delay_tcsh				; delay_tcsh
			orl		P0, #001h				; STB_HI;

;// switch off extra "ADRAM"	

			anl		P0, #0FEh				; STB_LO:
			mov		temp, #00110000B			; pt6302_ser_out(0b00110000)
			mov		R7, #16					; for(i=0;i<16;i++)
cy16_loop:		mov		temp, #000h				; {	pt6302_ser_out(0); }
			call		data_shout
			djnz		R7, cy16_loop
			call		delay_tcsh
			orl		P0, #001h				; STB_HI;

;test mode: light all

			anl		P0, #0FEh				; STB_LO:
			mov		temp, #01110011B			; pt6302_ser_out(0b01110011); //on)
			call		data_shout				; 
			call		delay_tcsh				; delay_tcsh
			orl		P0, #001h				; STB_HI;
			call		delay200ms				; _delay_ms(500)
			call		delay200ms
			call		delay200ms

;// normal mode

			anl		P0, #0FEh				; STB_LO:
			mov		temp, #01110000B			; pt6302_ser_out(0b01110000); //test off-normal mode on)
			call		data_shout				; 
			call		delay_tcsh				; delay_tcsh
			orl		P0, #001h				; STB_HI;

			orl		PCON, #001h				; Good night sweet prince
			jmp		$					; if insomnia occured

data_shout:		push		ACC					; save current A, PSW to stack
			push		PSW
			mov		A, temp					; data to p
			mov		R7, #008h				; loop counter
shloop:			anl		P0, #11111101B				; clk (P0.1) low
			rrc		A					; 1 pos shift A right
			mov		sdi_pin, C				; Carry flag to data out
			orl		P0, #00000010B				; clk (P0.1) high
			djnz		R7, shloop				; Decrement counter and jump back
			pop		PSW
			pop		ACC					; Pop previous A, PSW back
			ret							;exit subroutine

delay_tcsh:	nop								; 16uS@4.000 mhz
			ret

delay200ms:		mov 		030h, #082h				; 200ms@4.000 mhz
			mov		031h, #0ABh
next:			djnz		031h, $
			djnz		030h, next
			ret

			end

Написал. То есть, если я щас скомпиляю и прошью эту шляпу, экран должен как минимум зажечься?

Ссылка на комментарий
Поделиться на другие сайты

...гроб с покойничком летает над крестами.  А вдоль дороги мертвые с косами стоят, и тишина.

Ну его в баню это стекло, только деньги потратил, и головняк поимел.

Ссылка на комментарий
Поделиться на другие сайты

@Иван Долинин , а с питанием дисплея всё в порядке? Ему нужно ещё отрицательное напряжение и накал. На плате должен быть какой-то конвертер.

Цитата

VFD Drive Power Supply: VEE = -20V to -30V

Посмотрите  здесь

Ссылка на комментарий
Поделиться на другие сайты

20 минут назад, korsaj сказал:

Дайте ссылку на MCU 8051 IDE

https://sourceforge.net/projects/mcu8051ide/files/mcu8051ide/1.4.9/

 

4 минуты назад, andrusha152 сказал:

а с питанием дисплея всё в порядке? Ему нужно ещё отрицательное напряжение и накал. На плате должен быть какой-то конвертер.

Да. У меня модуль, там преобразователь есть. К тому-же, он иногда загорается, при попытках что-то туда передать.

Ссылка на комментарий
Поделиться на другие сайты

программа только первые три байта передает корректно. Дальше исчезает сигнал /cs

Надо отлаживать

saleae_logic.png

Изменено пользователем Иван Долинин
Ссылка на комментарий
Поделиться на другие сайты

Ashampoo_Snap_2022_05.18_20h53m14s_006_.png.7fb7be02740abbc059006bb3b1169a2e.png
Не переживайте, у вас всего лишь 3 ошибки.  Сейчас сигналы похожи, хотя и тайминги разнятся (верхний ваш нижний оригинал). Мне кажется даже так может заработать.

untitled.asm

48 минут назад, Иван Долинин сказал:

Надо отлаживать

Смотрю у вас "аппаратура принЁм" )))

Изменено пользователем korsaj
Ссылка на комментарий
Поделиться на другие сайты

Конечно нет. Мы просто пытаемся пройти по уже протоптанному пути.

 

Надо первым делом выставить нормальные тайминги. На всяк случай гляньте еще раз правильность подключения дисплея.

Изменено пользователем korsaj
Ссылка на комментарий
Поделиться на другие сайты

вообще, сильно не факт, что эта программа вообще рабочая. Об этом во-первых, прямо говорится, а во вторых, когда стекло приехало из Кетая, я пытался его расчехлить по даташиту от другой микросхемы. Тогда не смог сразу установить что ко мне приехало, потому что микруха находится внутри стекла, и не имеет маркировке. А продаван в душе не чает, что он продает. И вот тогда, во время опытов - он загорался, козяблики показывал. Он так-же мог загорется и у него.

Ссылка на комментарий
Поделиться на другие сайты

1 минуту назад, Иван Долинин сказал:

Об этом во-первых, прямо говорится

Нет не так. Человек хотел с помощью кастомных символов выводить свои символы, притом больше чем их есть, от того у него появлялась засветка пикселей (смотрите видео). 

4 минуты назад, Иван Долинин сказал:

от другой микросхемы

От какой другой?

Ссылка на комментарий
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.

Гость
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Ответить в этой теме...

×   Вставлено с форматированием.   Восстановить форматирование

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу

  • Сообщения

    • Мне еще страннее, вчера реле подтянулось на 0,97вольта, защита не работала, аж сопруха дымилась. Плюнул ушел спать. Сегодня пришел после работы , собираю как было, выставил нужное U но уже на 1,1вольта. убрал нагрузку, сработка защиты от кроны на ура, подкинул нагрузку 4,3 ома, дал с АКБ от шурика, так же мгновенно отрабатывают. От что было, не понятно.... ничего не менял....  В целом Вам еще раз мое почтение и благодарность за помощь и за схему... тех решение отличное....  З.Ы. первичный запуск на проверку КЗ в целом работает и при выкороченном и не при выкороченном вводе. З.Ы.2 При сработке защиты от напряжения на входе, так же вспыхивает светодиод наличие сигнала, при снятии медленно гаснет. Если есть нагрузка но вспыхивает кратковременно и еле видно... Просто наблюдение. Видать особенность схемы ни на, что не влияющая и ничего не мешающая. 
    • Я вот эту использую двухкомпонентную.  
    • Короче это и правда был виноват тот транзистор,поставил двух затворный полевик от блока приемного от телевизора,V64 код на нем,с ним все зароботало более мение но возбуды преследуют если без заземления и антенны пользоваться,принемает одну англоязычную станцию какую-то,но изберательность низковата как для полевика,и при очень мощном входном сигнале незнаю что с ним происходит (что-ли первый или второй каскад УВЧ не роботает при большом входном сигнале) он просто нечего не делает и слышно гул 50 герц и все,но возбуд из за того что наверное провода по 2 см в УВЧ части,но возбуждается именно 2 каскад на s9018 потомучто если там брать больше 100 hfe транзистор то вообще мракобесие начинается 
    • Здравствуйте, есть проблема. БП 84 вольт, ток регулируемый 1-12 ампер не имеет вообще pfc. При мощности 650 вт ток из розетки уже 5 ампер(при 900 вт ,ток 6 а), что для меня критично тк БП должен работать от инверторного генератора на 1.2 квт и срабатывает защита по току в генераторе.  Возможно установить в схему пассивный корректор, чтобы решить проблему хотя бы частично? Вроде установка дросселя сразу за диодным мостом в идеале увеличивает КМ до 0.9, а меня устроит КМ 0.8 и работа при 900 вт.  Вкорячивать apfc нет ни желания, ни опыта, как и что то делать с генератором. Спасибо.
    • Подумываю собрать, комплектующие нашёл, транзисторы 2П303А есть 16 штук новых, нужно отобрать по напряжению отсечки и току стока. 
    • Провел опыт данного включения. На слух не изменилось ничего в сравнении с одним  обычным емкостным шунтом  в катоде
×
×
  • Создать...