Перейти к содержанию

Изменение сигнала на выходе модуля без возникновения события и перехода в процесс блок


Рекомендуемые сообщения

Здравствуйте, не так давно начал заниматься программированием FPGA на верилоге и несколько раз сталкивался с проблемой, когда на выходе модуля возникает дребезг (постоянное изменение сигнала). Не смотря на то, что в списке чувствительности процесс блока есть только клоковый импульс и любые изменения на выходах могут быть только при изменении клока, выходной сигнал меняется чаще, чем приходит импульс. Описание модуля, тестовый стенд и скрин симуляции прилагаю (последний скрин это работа в симуляции до синтеза). Важный момент, что такое происходит только при проведении симуляций Timing на любом этапе, в остальных симуляциях все в норме. С этой проблемой сталкивался и до этого, но тогда я решил, что это было из-за асинхронной логики, сделал модуль синхронным и проблема решилась, сейчас модуль синхронный, но проблема есть, хотелось бы узнать что это и как избежать. Заранее благодарю за ответ  

image.png

image.png

image.png

Control_devices.v tb_Contr_Trans.v

Ссылка на комментарий
Поделиться на другие сайты

Не до конца понял проблемы

Quote

Не смотря на то, что в списке чувствительности процесс блока есть только клоковый импульс и любые изменения на выходах могут быть только при изменении клока, выходной сигнал меняется чаще, чем приходит импульс

Судя по картинкам, меняется он четко по фронтам сигнала clk. Если нужно только в момент появления  импульса, то posedge clk вам в помощь.

С уважением, Михаил, EEP Lab

Ссылка на комментарий
Поделиться на другие сайты

21 час назад, aitras сказал:

Не до конца понял проблемы

Судя по картинкам, меняется он четко по фронтам сигнала clk. Если нужно только в момент появления  импульса, то posedge clk вам в помощь.

Там на первой картинке фрагменты графиков закрашенные ярко зеленым, в этих местах график меняет значение очень часто при такой развертки не видно, на второй картинке я увеличил масштаб там не видно фронта клокового сигнала, но постоянное изменение значений графика. Еще раз приложу картинку с таким масштабом, только немного с другими временными промежутками, что бы был виден фронт клока. Клоковый импульс второй сверху, после прихода его фронта сигналы add_C и Data_C начинают изменяться "хаотично" без возникновения очередного события.

image.png.509980dd10e6fe9f708528b9d89133d0.png

Ссылка на комментарий
Поделиться на другие сайты

Сравнительное тестирование аккумуляторов EVE Energy и Samsung типоразмера 18650

Инженеры КОМПЭЛ провели сравнительное тестирование аккумуляторов EVE и Samsung популярного для бытовых и индустриальных применений типоразмера 18650. 

Для теста были выбраны аккумуляторы литий-никельмарганцевой системы: по два образца одного наименования каждого производителя – и протестированы на двух значениях тока разряда: 0,5 А и 2,5 А. Испытания проводились в нормальных условиях на электронной нагрузке EBD-USB от ZKEtech, а зарядка осуществлялась от лабораторного источника питания в режиме CC+CV в соответствии с рекомендациями в даташите на определенную модель. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

Теперь понял про что Вы. И вопрос прочитал внимательнее.

Не знаю по каким принципам выполняется симуляция в режиме Timing, не смогу подсказать ничего внятного.

С уважением, Михаил, EEP Lab

Ссылка на комментарий
Поделиться на другие сайты

Новый аккумулятор EVE серии PLM для GSM-трекеров, работающих в жёстких условиях (до -40°С)

Компания EVE выпустила новый аккумулятор серии PLM, сочетающий в себе высокую безопасность, длительный срок службы, широкий температурный диапазон и высокую токоотдачу даже при отрицательной температуре. 

Эти аккумуляторы поддерживают заряд при температуре от -40/-20°С (сниженным значением тока), безопасны (не воспламеняются и не взрываются) при механическом повреждении (протыкание и сдавливание), устойчивы к вибрации. Они могут применяться как для автотранспорта (трекеры, маячки, сигнализация), так и для промышленных устройств мониторинга, IoT-устройств. Подробнее параметры и результаты тестов новой серии PLM по ссылке.

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

В 30.11.2022 в 02:27, aitras сказал:

Теперь понял про что Вы. И вопрос прочитал внимательнее.

Не знаю по каким принципам выполняется симуляция в режиме Timing, не смогу подсказать ничего внятного.

Сегодня все-таки смог разобраться с этим, не знаю почему, но когда в модуле обозначил событие только по негативному фронту клока (до этого было по двум сразу) все заработало, код маленько пришлось усложнить, но в целом теперь работает 

Ссылка на комментарий
Поделиться на другие сайты

Литиевые батарейки и аккумуляторы от мирового лидера  EVE в Компэл

Компания Компэл, официальный дистрибьютор EVE Energy, бренда №1 по производству химических источников тока (ХИТ) в мире, предлагает продукцию EVE как со склада, так и под заказ. Компания EVE широко известна в странах Европы, Америки и Юго-Восточной Азии уже более 20 лет. Недавно EVE была объявлена поставщиком новых аккумуляторных элементов круглого формата для электрических моделей «нового класса» компании BMW.

Продукция EVE предназначена для самого широкого спектра применений – от бытового до промышленного. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.

Гость
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Ответить в этой теме...

×   Вставлено с форматированием.   Восстановить форматирование

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу
×
×
  • Создать...