Перейти к содержанию

Плисы - Какой К Ним Требуется Гарнир?


Ironpin

Рекомендуемые сообщения

Привет! :rolleyes:

Вот хочу узнать что такое ПЛИС, как с ними работать, и для чего они нужны. Вообщем то вопросов не так много, в основном, чем они отличаются от МК. И может знаете какую-нибудь литературу, которая дает представление о ПЛИСах и как программировать их.

Ссылка на комментарий
Поделиться на другие сайты

Реклама: ООО ТД Промэлектроника, ИНН: 6659197470, Тел: 8 (800) 1000-321

20% скидка на весь каталог электронных компонентов в ТМ Электроникс!

Акция "Лето ближе - цены ниже", успей сделать выгодные покупки!

Плюс весь апрель действует скидка 10% по промокоду APREL24 + 15% кэшбэк и бесплатная доставка!

Перейти на страницу акции

Реклама: ООО ТМ ЭЛЕКТРОНИКС, ИНН: 7806548420, info@tmelectronics.ru, +7(812)4094849

МК - это арифметическое устройство, а ПЛИС - логическое - вот основная разница. Так же ПЛИС отличаются довольно высоким быстродействием. По сути в ПЛИС зашивается схема на простых логических элементах (И, ИЛИ, НЕ), чуть более сложных (например дешифраторы, триггеры и т.п.), так же при помощи языков программирования AHDL, VHDL можно описать собственный логический элемент.

Из сред разработки бесплатно доступен Quartus на сайте производителя Altera.

Ссылка на комментарий
Поделиться на другие сайты

Организация питания на основе надежных литиевых аккумуляторов EVE и микросхем азиатского производства

Качественное и безопасное устройство, работающее от аккумулятора, должно учитывать его физические и химические свойства, профили заряда и разряда, их изменение во времени и под влиянием различных условий, таких как температура и ток нагрузки. Мы расскажем о литий-ионных аккумуляторных батареях EVE и нескольких решениях от различных китайских компаний, рекомендуемых для разработок приложений с использованием этих АКБ. Представленные в статье китайские аналоги помогут заменить продукцию западных брендов с оптимизацией цены без потери качества. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

Вот хочу узнать что такое ПЛИС, как с ними работать, и для чего они нужны. Вообщем то вопросов не так много, в основном, чем они отличаются от МК. И может знаете какую-нибудь литературу, которая дает представление о ПЛИСах и как программировать их.

Михаил уже сказал основное, :) но я попробую дополнить. Сразу скажу, что речь идет о продукции Altera.

ПЛИС - логическая ИМС. Проще говоря, то, что можно выполнить на дискретной логике (примитивы, триггеры), может быть помещено в одну ИМС. Плюсы очевидны, но основное назначение ПЛИС - работа с данными в синхронном режиме, что недоступно МК. Еще одно отличие от МК заключается в языках программирования. Если МК выполняет команды последовательно, то ПЛИС - параллельно. Самих языков описания ПЛИС три: AHDL, VHDL и Verilog. Самая большая проблема с языками программирования заключается в отсутствии литературы. Исключение составляет книга "Антонов А. П. Язык описания цифровых устройств AlteraHDL. Практический курс.", в которой очень подробно и с примерами описаны команды и структура листинга языка AHDL. САПР, такой как Quartus и Max+Plus, помимо языков программирования, позволяет графически описать конфигурацию ПЛИС. Правда, разработчики Altera такой метод не приветствуют, и не без оснований. Например, в AHDL есть возможность воспользоваться таблицами истинности, гибкой командой CASE IS, то есть тем, что при графическом описании едва ли поместится на лист формата A4. :)

Посетите страницу: _http://www.altera.ru/

P.S. Средство программирования ПЛИС Altera через параллельный порт LPT: _http://www.altera.ru/cgi-bin/go?35

Изменено пользователем Lexus
Ссылка на комментарий
Поделиться на другие сайты

  • 6 месяцев спустя...

Сравнительное тестирование аккумуляторов EVE Energy и Samsung типоразмера 18650

Инженеры КОМПЭЛ провели сравнительное тестирование аккумуляторов EVE и Samsung популярного для бытовых и индустриальных применений типоразмера 18650. 

Для теста были выбраны аккумуляторы литий-никельмарганцевой системы: по два образца одного наименования каждого производителя – и протестированы на двух значениях тока разряда: 0,5 А и 2,5 А. Испытания проводились в нормальных условиях на электронной нагрузке EBD-USB от ZKEtech, а зарядка осуществлялась от лабораторного источника питания в режиме CC+CV в соответствии с рекомендациями в даташите на определенную модель. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

МК - это арифметическое устройство, а ПЛИС - логическое - вот основная разница. Так же ПЛИС отличаются довольно высоким быстродействием. По сути в ПЛИС зашивается схема на простых логических элементах (И, ИЛИ, НЕ), чуть более сложных (например дешифраторы, триггеры и т.п.), так же при помощи языков программирования AHDL, VHDL можно описать собственный логический элемент.

Из сред разработки бесплатно доступен Quartus на сайте производителя Altera.

Не соглашусь! Плис-это и арифметическое и логическое устройство, и микроконтроллер с микропроцессором в одном лице....вопрос в том как построена программа...

Ссылка на комментарий
Поделиться на другие сайты

  • 1 месяц спустя...

Литиевые аккумуляторы EVE Energy и решения для управления перезаряжаемыми источниками тока (материалы вебинара)

Опубликованы материалы вебинара Компэл, посвященного литиевым аккумуляторам EVE Energy и решениям для управления перезаряжаемыми источниками тока.

На вебинаре мы представили информацию не только по линейкам аккумуляторной продукции EVE, но и по решениям для управления ею, что поможет рассмотреть эти ХИТ в качестве дополнительной альтернативы для уже выпускающихся изделий. Также рассмотрели нюансы работы с производителем и сервисы, предоставляемые Компэл по данной продукции. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

Всё бы хорошо, но современные ПЛИС очень сложны: в них встроены сигнальные процессоры, микроконтроллеры, блоки гигабитного эзернет, беспроводных сетей, сигналы LVDS и.т.д. иными словами для того чтобы с ними работать нужно очень и очень много знаний и денег. Достаточно зайти на сайт xilinx:

http://www.xilinx.com/support/answers/index.htm

Ссылка на комментарий
Поделиться на другие сайты

  • 3 недели спустя...

Литиевые батарейки и аккумуляторы от мирового лидера  EVE в Компэл

Компания Компэл, официальный дистрибьютор EVE Energy, бренда №1 по производству химических источников тока (ХИТ) в мире, предлагает продукцию EVE как со склада, так и под заказ. Компания EVE широко известна в странах Европы, Америки и Юго-Восточной Азии уже более 20 лет. Недавно EVE была объявлена поставщиком новых аккумуляторных элементов круглого формата для электрических моделей «нового класса» компании BMW.

Продукция EVE предназначена для самого широкого спектра применений – от бытового до промышленного. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

Ни кто не заставляет все это пихать в ПЛИС.

Да и не в каждый кристалл это влезет :)

Просто есть модели для кучи устройств и при необходимости их можно собрать в той комбинации, котора требуется для решения задачи.

А ПЛИС - набор маленьких базовых логических модулей, и собирай на них все что хочешь.

Например нарисовал схему в ORCADe, проверил на модели и запихнул всю логику в один корпус.

Кстати есть сейчас и маленькие кристаллы с небольшим числом вентилей, для простых задач.

Как советовать, так все чатлане ...

Ссылка на комментарий
Поделиться на другие сайты

  • 1 месяц спустя...

Ку всем :)

Народ (в частности wowa :) ), можете на конкретном примере подсказать литературу, софт и железо? Что-нибудь самого начального уровня.

Скажем, микруху от altera (я так понял, к ним больше документации чем к другим производителям) на сотню логических ячеек, с минимальной ценой, так-же схемку программатора (кроме COM) и софт, который позволяет создать прошивку из схемы, составленной из обычной логики (делать свои таблицы истинности в самом начале изучения плис считаю лишним, а в таком случае ИМХО удобней делать прошивку логической схемой)...

Ну и само собой литературу, желательно похожую на "Шпак Ю.А. Программирование на языке С для AVR и PIC", что-бы объяснялось доходчиво и на примерах :)

С плис раньше дел вообще не имел, знаю только в общих чертах что это такое...

> Правила форума <

...из древних времен доходят до нас слухи о колдунах и волшебниках... - кто бы мог подумать, что так может начинаться дипломная работа по радиотехнике...

...это не дым от канифоли, нет... это души умерших транзисторов....

Первый закон Ома: никому не рассказывать про Ома!

Ну вот, а ты говорил ноль...

Ссылка на комментарий
Поделиться на другие сайты

Можно исходя из >этого< ДШ, т.е. например EPM3064A в TQFP100... вот конкретно этой микрухе какой нужен программатор и софт?

> Правила форума <

...из древних времен доходят до нас слухи о колдунах и волшебниках... - кто бы мог подумать, что так может начинаться дипломная работа по радиотехнике...

...это не дым от канифоли, нет... это души умерших транзисторов....

Первый закон Ома: никому не рассказывать про Ома!

Ну вот, а ты говорил ноль...

Ссылка на комментарий
Поделиться на другие сайты

1 - документация есть для всех типов и свободно!!!! Сколько хотите!!! Сравнительно у всех изготовителей!!! Тяжелее уже с ценами на сами микрушки. Где-то Альтера дороже, где-то Ксайлинкс...

2 - книжки - это проблема... На русском их очень немного.. На английском будет побольше.. Но я не думаю что для того чтобы рисовать схему в програме вам будут нужны книжки :) Там вполне достаточно хелпа. Вот когда поймёте что рисовать схемы не экономично и долго а написать в VERILOG 20 строчек и получите то что надо - потом уже нужно будет изучать VERILOG :) Ну или VHDL...

Исходя из уведённой документации - для програмирования EPM3064A нужен JTAG 3.3v. А какой именно програмер - это уже будет зависить на cофте который будете пользовать. Например MAX Plus II - самый доступный в полной версии и маленький по размеру софтик (40 мега) - умеет только через паралельный порт. А вот Quartus (2 гига + у свободного есть ещё и другие ограничения)- у него уже можно и на УСБ использовать.

И для начала определитесь что будете делать !!! От этого зависит ёмкость кристала !!!!

Ссылка на комментарий
Поделиться на другие сайты

NebsteR, какой источник может обеспечить исчерпывающую информацию по конкретным изделиям? Правильно, техническая информация производителя. smile.gif Для Altera есть русскоязычный сайт: http://www.altera.ru/ Там Вы можете найти описание семейства MAX3000A на русском языке (основано на оригинальных документах): http://www.altera.ru/cgi-bin/go?44 Простой ISP программатор для LPT порта: http://www.altera.ru/cgi-bin/go?35 И еще много полезного.

САПР для продукции Altera два: Max+Plus и Quartus. Первый считается устаревшим, но очень легок как для работы, так и для ресурсов ПК. Quartus более развит в функциональных возможностях и поддерживаемых семействах ПЛИС. Quartus загружается с официального сайта Altera после регистрации, временная лицензия предоставляется бесплатно там же, ее можно продлевать сколько угодно раз.

При первом знакомстве удобно работать со схемным редактором (кстати, у "Радиокота" описана работа с Максплюсом), в котором есть как примитивы, так и готовые логические элементы серии 74. Но когда проекты становятся большими и быстрыми, этот метод плохо подходит. Я остановился на "родном" языке Altera HDL (AHDL), как наиболее мне понятном и удобном, хотя наибольшее распространение среди разработчиков получили языки описания VHDL и Verilog. Лучшая (и единственная) книга по AHDL - это А.П. Антонов "Язык описания цифровых устройств AlteraHDL". Если будут вопросы по AHDL, могу привести примеры описания элементов.

Ссылка на комментарий
Поделиться на другие сайты

Всем спасибо :)

рисовать схемы не экономично и долго а написать в VERILOG 20 строчек и получите то что надо
Я более чем уверен что так и есть, но тем не менее для первого знакомства имхо лучше схематическое создание :)
MAX Plus II - самый доступный в полной версии и маленький по размеру софтик (40 мега) - умеет только через паралельный порт
Подойдет для начала, к тому-же на коте он описан как оказалось) Ну а порт подойдет любой, если не к ноуту, то к старому системнику точно подключу :)
И для начала определитесь что будете делать !!! От этого зависит ёмкость кристала !!!!
эээ :huh: ... понятия не имею :) Наверное для начала какую-нибудь моргалку как и самую первую свою программу на мк, бегущий огонь, а дальше будет видно :)

Или они для одноразовой прошивки? :huh:

Там Вы можете найти описание семейства MAX3000A на русском языке (основано на оригинальных документах)
Был там, не нашел этого почему-то, навигация у них неудобная :( почитаем...
Лучшая (и единственная) книга по AHDL - это А.П. Антонов "Язык описания цифровых устройств AlteraHDL"
Уже скачано и дожидается своего времени :)

Да, кстати, немаловажный вопрос, есть симуляторы для плиса (для max3000a в частности), подобные протеусу? Из параллельной темы про quartus узнал что вроде как там есть внутренний симулятор/отладчик, а как быть с периферией микрухи? Т.к. в общем-то к протеусу привык :)

> Правила форума <

...из древних времен доходят до нас слухи о колдунах и волшебниках... - кто бы мог подумать, что так может начинаться дипломная работа по радиотехнике...

...это не дым от канифоли, нет... это души умерших транзисторов....

Первый закон Ома: никому не рассказывать про Ома!

Ну вот, а ты говорил ноль...

Ссылка на комментарий
Поделиться на другие сайты

Все пакеты - и МАХ тоже - содержат в себе симулятор. Но для того чтобы его запустить надо задавать или тестбенч или вручную сигналы мышкой попереключать...

Ссылка на комментарий
Поделиться на другие сайты

О как, отлично :)

> Правила форума <

...из древних времен доходят до нас слухи о колдунах и волшебниках... - кто бы мог подумать, что так может начинаться дипломная работа по радиотехнике...

...это не дым от канифоли, нет... это души умерших транзисторов....

Первый закон Ома: никому не рассказывать про Ома!

Ну вот, а ты говорил ноль...

Ссылка на комментарий
Поделиться на другие сайты

Чтобы использовать ПЛИС для светодиодной мигалки, потребуется низкая частота такта, иначе никаких ресурсов не хватит. Для первого проекта можно попробовать сделать синхронный счетчик или мультиплексор. Последний, если выполнен не синхронным, не требует такта. Гарантированное число циклов перезаписи при программировании серии MAX составляет 100.

Симулятор встроен как в Max+Plus, так и в Quartus. Для симуляции лучше использовать файл вектора входных сигналов, так как редактор не умеет задавать произвольные параметры, ограничиваясь меандром со скважностью 2.

Ссылка на комментарий
Поделиться на другие сайты

4060 с кварцем в качестве тактового генератора для моргалки :)

> Правила форума <

...из древних времен доходят до нас слухи о колдунах и волшебниках... - кто бы мог подумать, что так может начинаться дипломная работа по радиотехнике...

...это не дым от канифоли, нет... это души умерших транзисторов....

Первый закон Ома: никому не рассказывать про Ома!

Ну вот, а ты говорил ноль...

Ссылка на комментарий
Поделиться на другие сайты

симпатичные зверьки))

> Правила форума <

...из древних времен доходят до нас слухи о колдунах и волшебниках... - кто бы мог подумать, что так может начинаться дипломная работа по радиотехнике...

...это не дым от канифоли, нет... это души умерших транзисторов....

Первый закон Ома: никому не рассказывать про Ома!

Ну вот, а ты говорил ноль...

Ссылка на комментарий
Поделиться на другие сайты

  • 4 недели спустя...

NebsteR, ну прямо те же вопросы задал какие и мне интересны. Сделал уже чё нить?

Я вот думаю может есть конкретные примеры проектов(только попроще).

Радует обилие ножек у ПЛИСок, такого не скажешь о МК.

Как я понял на одной из них можно сделать например 2дес. счётчика, MUX 32вх/1вых, дешифратор для 7-индикатора, +десяток таймеров, а потом поменять прошивку и заменить десятичные счётчики на 16-ные.

Интересно а вилка цен на них какая (из опыта посвящённых)?

Ссылка на комментарий
Поделиться на другие сайты

GDK, Вы поняли все правильно. :) ПЛИС - очень гибкие в функциональных возможностях изделия. Цены на любой кристалл можете узнать здесь: http://altera.ru/cgi-bin/price/price Характеристики семейств можно просмотреть через меню Продукция -> Микросхемы.

Ссылка на комментарий
Поделиться на другие сайты

wowa, не всегда так. Но в большинстве случаев изменение нескольких строчек в текстовом описании может полностью изменить алгоритм работы, что может быть равносильно составлению новой графической схемы. Разумеется, языки описания поведения ПЛИС предпочтительны, но иногда проще нарисовать цифровую схему.

Ссылка на комментарий
Поделиться на другие сайты

Я имел ввиду что если один раз описать счётчик и несколько раз его попользовать - то достаточно просто в програме изменить ДО СКОЛЬКА ему считать.. и получится из 10тичного 16ричный :)

И уж точно не быстрее нарисовать.. Покрайней мере не для меня :)

Ссылка на комментарий
Поделиться на другие сайты

  • 2 недели спустя...

Цены сравнимы с ценами на МК. Можно экспериментировать. Пора наверное гуглить самоучитель ПЛИС. А то чё то глянул даташит на одну из моделек и мало что понял - похоже отстаю от жизни.

Да, спасибо.

Изменено пользователем GDK
Ссылка на комментарий
Поделиться на другие сайты

А даташит и не ососбо поможет.. Тут главное надо читать книги относящиеся к софту... В даташиту можно только номера ножек взять...Ну и размер корпуса и подключение програмера/ЕПРОМ.

Ссылка на комментарий
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.

Гость
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Ответить в этой теме...

×   Вставлено с форматированием.   Восстановить форматирование

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу

×
×
  • Создать...