Перейти к содержанию

Замена К564ие15 На 2 Штуки К561ие8


AndryGladky

Рекомендуемые сообщения

И неправильно. DRC-цепь сброса должна выглядеть так:

Не буду навязывать свое решение, но DRC-цепь малопригодна для КМОП. Falconist, ты нарисовал слишком идеальный сигнал сброса на выходе цепочки. Такой можно получить только если не сбрасывать счетчик, с выхода которого формируется импульс. На практике получится несколько иначе. Не успеет импульс возрасти до лог.1. Уровень переключения элементов лежит несколько ниже. И как только уровень импульса сброса достигнет уровня переключения, счетчик сбросится, его выход, формирующий единицу (сброс) поменяет свое состояние на противоположное (вместо желаемого "прямоугольника" получится короткая "игла"). Амплитуда импульса сброса не будет расти, а пойдет на убыль. Вот в этом случае, если не все микросхемы "примут" уровень сигнала сброса за лог.1, то они останутся несброшенными (особенно актуально для триггера D2.2). И в данном случае емкость цепочки DRC будет играть отрицательную роль, затягивая фронт сигнала сброс. Лучшим вариантом служит одновибратор, независящий от запускающего его импульса.

SanekF7, а вот теперь представь свой несамолет, в котором младший счетчик не будет обнуляться. Ошибка периода может достигать от 1 до 9 импульсов счета.

Ожидаемая осциллограмма тоже будет отличаться от нарисованной. А именно. Триггер D2.2 будет восстанавливаться следующим же импульсом приходящим на его счетный вход, а не вторым (как нарисовано). Но и в этом случае, прежде чем сброситься триггеру, элемент D1.3 успеет пропустить часть импульса, при котором будет производиться сброс. И в этом ему помогут номиналы конденсатора в цепочке DRC, дающие задержку импульса сброса. В результате будет пропущен один импульс, да и то не полностью. Часть его фронта успеет проникнуть в общую последовательность на выходе OUT. Вот, примерно так.

Изменено пользователем Геннадий
Ссылка на комментарий
Поделиться на другие сайты

Реклама: ООО ТД Промэлектроника, ИНН: 6659197470, Тел: 8 (800) 1000-321

  • Ответов 55
  • Создана
  • Последний ответ

Топ авторов темы

Топ авторов темы

Изображения в теме

DRC-цепь малопригодна для КМОП. Falconist, ты нарисовал слишком идеальный сигнал сброса на выходе цепочки. Такой можно получить только если не сбрасывать счетчик, с выхода которого формируется импульс. На практике получится несколько иначе. Не успеет импульс возрасти до лог.1. Уровень переключения элементов лежит несколько ниже. И как только уровень импульса сброса достигнет уровня переключения, счетчик сбросится, его выход, формирующий единицу (сброс) поменяет свое состояние на противоположное (вместо желаемого "прямоугольника" получится короткая "игла").

Это Ваше мнение, и ли Вы так думаете? ;) А задержки внутри схемы Вы учитываете? Для DRC-цепочки не важна длительность "полки" импульса, пусть будет даже "игла", она всё равно успеет зарядить конденсатор в несколько сот пФ. Главное, чтобы спад импульса сброса был затянут на время, достаточное для надежного переключения всех микросхем.

Просят - не откажи. Не просят - не навязывайся!

Простота хуже воровства.

Ссылка на комментарий
Поделиться на другие сайты

20% скидка на весь каталог электронных компонентов в ТМ Электроникс!

Акция "Лето ближе - цены ниже", успей сделать выгодные покупки!

Плюс весь апрель действует скидка 10% по промокоду APREL24 + 15% кэшбэк и бесплатная доставка!

Перейти на страницу акции

Реклама: ООО ТМ ЭЛЕКТРОНИКС, ИНН: 7806548420, info@tmelectronics.ru, +7(812)4094849

Выбираем схему BMS для корректной работы литий-железофосфатных (LiFePO4) аккумуляторов

 Обязательным условием долгой и стабильной работы Li-FePO4-аккумуляторов, в том числе и производства EVE Energy, является применение специализированных BMS-микросхем. Литий-железофосфатные АКБ отличаются такими характеристиками, как высокая многократность циклов заряда-разряда, безопасность, возможность быстрой зарядки, устойчивость к буферному режиму работы и приемлемая стоимость. Но для этих АКБ, также как и для других, очень важен контроль процесса заряда и разряда, а специализированных микросхем для этого вида аккумуляторов не так много. Инженеры КОМПЭЛ подготовили список имеющихся микросхем и возможных решений от разных производителей. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

Ну-ну...

Аргументация, конечно, "железобетонная". Попробую тогда я.

Смотрим Шило "Популярные микросхемы КМОП". Длительность импульса сброса для К561ИЕ8 должна быть не меньше 275 нс. Берём 300 нс. О внутрисхемных задержках ничего не сказано.

Включаем Мультисим и симулируем DRC-цепочку, приведенную в предыдущем посте

post-24063-091794800 1287387629_thumb.jpg

с длительностью входного импульса 200 нс. Длительность "единичного" уровня выходного сигнала (на уровне 2/3 Uпит = 5 В) составляет 420 нс, что в 1,5 раза больше требуемой длительности сигнала сброса.

post-24063-094664200 1287387638_thumb.jpg

А до уровня лог.0 (1/3 Uпит) так и вообще 1,3 мс.

post-24063-020315400 1287388807_thumb.jpg

Просят - не откажи. Не просят - не навязывайся!

Простота хуже воровства.

Ссылка на комментарий
Поделиться на другие сайты

вот я тоже попробовал НО..почему то у меня за стабилитроном напряжение падает так же как и до него ПОЧЕМУ? разве он не должен пропустить только то что выше 10 вольт а потом должен разряжаться уже конденсатор а за стабилитроном напряжение должно быть "по нулям".А так же ваше мнение на счет такой схемы задержки?

Кстати уважаемый Falconist вы не сказали правильно ли я включил перенос схем?-ну то что вы говорили во втором счетчике нужно считать по спаду импульсов?правильно теперь?

синеньким-напряжение в точке соединений R2-D2

зелененьким-в точке R1-C1-D1

а мысль вообще такая-рассчитать элементы так что напряжение на конденсаторе падает до 10 вольт(напряжение стабилизации диода зенера)в течение примерно 300нс ,затем диод зенера закрывается импульс сброса с резистора R2 тоже пропадает а конденсатор спокойненько продолжает себе разряжаться до нуля уже никому не мешая...

post-128138-043953000 1287401598_thumb.jpg

Ссылка на комментарий
Поделиться на другие сайты

Какой стабилитрон??? Откуда он взялся???

Говорили-балакали, сіли та й заплакали...

(Поговорка)

Часть схемы с подключением К561ИЕ8 и узлом обнуления.

post-24063-069158400 1287402459_thumb.gif

Весь сыр-бор крутится вокруг двух моментов:

1. Правильное каскадирование счетчиков ИЕ8

2. Узел их надежного обнуления.

Просят - не откажи. Не просят - не навязывайся!

Простота хуже воровства.

Ссылка на комментарий
Поделиться на другие сайты

Можно и так. Конденсатор разряжается через резистор, стоящий параллельно диоду, т.к. после обнуления счетчиков на их выходе - лог.0. Входное сопротивление КМОП-микросхем составляет порядка не менее 10 МОм на вход.

Просят - не откажи. Не просят - не навязывайся!

Простота хуже воровства.

Ссылка на комментарий
Поделиться на другие сайты

я позволил себе немного подправить вашу схемку(Falconist) вывод второго счетчика подрисовал на пятый(нам нужен счет до 6-ти).а также пунктиром обозначил вопрос-разве вход сброса второго счетчика не надо соединять с первым?

а еще на dd2.2 на триггер выход сброса берем не от конденсатора?т.е. ему не нужна такая задержка?или на выходе счетчика будет импульс сброса нужной длительности?чтоб триггер пропустил два импульса?

post-128138-065264200 1287404256_thumb.gif

Ссылка на комментарий
Поделиться на другие сайты

Falconist, ну любите вы виртуальным сексом заниматься! Я же хотел предостеречь вас из практических наблюдений (своего опыта). Не хотите, упрямьтесь на здоровье. Нет смысла спорить, если тебя не хотят слышать. Могу сказать одно. Возьмите справочник Шило обратно в руки и посмотрите рис.2.39. Работа счетчика с укороченным циклом. Думаю автор не зря нарисовал такую схему сброса (чтобы потом в него камни не кидали).  :)

SanekF7, не получите вы желаемую осциллограмму со сбросом от Falconist-а. Увы. :(

Изменено пользователем Геннадий
Ссылка на комментарий
Поделиться на другие сайты

Уважаемый SanekF7, я рад что Вы наконец разобрались и спрашиваете по существу. Рисовал я спешно (в основном - принцип работы) и забыл соединить входы обнуления счетчиков. Как лучше - нужно пробовать. Скорее всего, по Вашему варианту.

Геннадий, я схему нарисовал. И не одну. А Вы - только критикуете. Это правильно, как по-вашему? Выложите свой вариант. Если он будет лучше - я соглашусь. А еще возьмите, спаяйте 3 детальки и попробуйте. Я так делал и всё работает. Не с данной схемой, правда.

Просят - не откажи. Не просят - не навязывайся!

Простота хуже воровства.

Ссылка на комментарий
Поделиться на другие сайты

Я хотел вас поправить, а не критиковать, но столкнулся со стеной непонимания. Рисовать увы нет времени. Работы - выше крыши. Извините.

Ссылка на комментарий
Поделиться на другие сайты

Я хотел вас поправить, а не критиковать, но столкнулся со стеной непонимания. Рисовать увы нет времени. Работы - выше крыши. Извините.

Уважаемый Геннадий я с удовольствием выслушал бы ваше мнение по поводу моего варианта сброса со стабилитроном выложенный чуть выше.А также если не затруднить поясните почему после стабилитрона сигнал имеет такую форму...что это глюк программы или я что-то не так понял?рисовать не надо-там все нарисовано.

еще думаю мне стоит поробовать все три варианта схем на макетке...тогда все будет ясно...то есть вообще без схемы задержки...с схемой от Falkonista...и с "правильной"схемой задержки...

кстати щас есть у меня минутка...попробую порисовать схемку задержки...-чтоб не сильно сложную и правильную...

если оставите комментарии буду признателен...не забудьте поглядеть первую схемку...со стабилитроном которая)))

соберу схемки -отпишу сюда о результатах)

Ссылка на комментарий
Поделиться на другие сайты

Если честно, я не понял схемы со стабилитроном. Но чтобы приблизить функцию устр-ва к желаемой, рекомендую сделать тактируемый сброс на триггере К561ТМ2. См. схему.

post-16151-016240400 1287409378_thumb.gif

Все сбросы микросхем объединить вместе, отключить от переключателя и соединить с выходом Reset моей схемы.

Во всяком случае, если я правильно использовал фазы триггера, схема не будет зависеть от частоты задающего генератора и функция сохранится во всем диапазоне частот.

Если хочется сэкономить на корпусах, то как вариант, можно использовать первый триггер D2.1,исключив его с используемого участка и уменьшив частоту генератора в два раза (за счет частотозадающего конденсатора).

Изменено пользователем Геннадий
Ссылка на комментарий
Поделиться на другие сайты

есть еще вариант сброса..-зелененьким это диаграмма падения напряжения на резисторе номер два...

хотя.....не думаю что у него прям какие то особые преимущества перед просто RCD цепочкой...

вообщем думаю пора делать макетку..))

post-128138-098059500 1287411264_thumb.jpg

Ссылка на комментарий
Поделиться на другие сайты

Во! Совершенно согласен. И я бы так сделал, если бы проектировал сам с начала, а не "вылизывал" бы огрехи чужой схемы. Сброс получается тактируемым. Пока рисовал свою схему, Вы меня опередили. И с ненужностью первого триггера (DD4 по моей схеме) тоже совершенно согласен. Более того, и генератор я бы сделал не на 2-х инверторах (который иногда может не запускаться), а на 3-х (который запускается всегда). Всё равно в исходном варианте один элемент И-НЕ не используется.

post-24063-091016700 1287411493_thumb.gif

Просят - не откажи. Не просят - не навязывайся!

Простота хуже воровства.

Ссылка на комментарий
Поделиться на другие сайты

  • 4 года спустя...

Помогите мне пожалуста с этой схемы получить чёткие сигналы (1/13 или 1/21 )

Для управления полевыми транзисторами полумоста.

Мне нужно менять полярность в гальванической ванне.

Ссылка на комментарий
Поделиться на другие сайты

Чиво то не могу я схему сюда загрузить то попробую так обяснить.

Построил в протеусе модель по вашей схеме и спользовал счётчик HEF4059.

Всё работает импульсы на осцилографе такие как на схеме в первом посту.

Меня только интересует импульс с вывода 13 тригера D2.2 и вывод 23 счётчика D3.

Нужен реверсный ток для блестящей гальваники золота, серебра .

Для этого мне нужены импульсы длительностью 1 импульс (-) и 21 импульс(+).

А импульс с вывода 23 счётчика D3 у меня никак не получается поставить по средине импульса 13 тригера D2.2.

Что бы одни транзисторы успевали закрыться ,а другие открыться.

Он постоянно находится скраю в опасной зоне переключения мосфетов.

И выходит у меня что силовые транзисторы (+) полюса ещё не закрылись, (-)полюс уже открыт.

В результате замыкание КЗ.

Долго мудрил в протеусе моделировал и нечиво не выходит.

Может ваши светлые головы смогут сдвинуть этот импульс на средину промоины вывода 13 тригера D2.2.

Ссылка на комментарий
Поделиться на другие сайты

А что, 20-й или 19-й импульсы уже работать не будут? Вот так строго только 21-й и никак по другому?

Вложения прикрепляются в режиме "Полный редактор".

Просят - не откажи. Не просят - не навязывайся!

Простота хуже воровства.

Ссылка на комментарий
Поделиться на другие сайты

Будет работать и 1/10 и 1/200 но результат будет не тот.

Просто для моего электролита написанные условия работы для золота и серебра 1/13,

а для платины и родия 1/21.

А для растворения золота в электолит нужен переменный ок тоесть 1/1.

Эти тумблеры я поставлю на микросхему HEF4059 и буду переключать.

Вот получилось вложить папку с другого компа.

Модель в протеусе.rar

Изменено пользователем ROZARIO
Ссылка на комментарий
Поделиться на другие сайты

Протеусом не пользуюсь. Выложите схему в виде картинки.

Просят - не откажи. Не просят - не навязывайся!

Простота хуже воровства.

Ссылка на комментарий
Поделиться на другие сайты

Подобные вещи делаются так: В 1...19 тактах открыт один ключ, в 21-й такт - второй ключ, а в 20-й и 22-й такты оба ключа закрыты ("мертвое время") для предотвращения сквозных токов. Цикл = 22 такта.

Это, конечно, только пояснение принципа работы. Реально, с применением ИЕ15, можно на один ключ пустить 1...209 такты, на второй - 211...221 такты, а 210-й и 222-й - это "мертвое время". Цикл - 222 такта.

Но делать такое на жесткой логике!.. Уж насколько я никогда не имел дело с микроконтроллерами, но и то рекомендовал бы применить именно их. Скажем, на Ардуино было бы самое простое.

Просят - не откажи. Не просят - не навязывайся!

Простота хуже воровства.

Ссылка на комментарий
Поделиться на другие сайты

Я не умею программировать.

Тем более пробовал подключать управление напряжением на Atmega8 так у неё от реверсивных токов работы выходных ключей

глючит программа и на дисплее зяблики появляются.

Вот я и решил на логике это делать.

Изменено пользователем ROZARIO
Ссылка на комментарий
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.

Гость
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Ответить в этой теме...

×   Вставлено с форматированием.   Восстановить форматирование

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу

×
×
  • Создать...