Перейти к содержанию

Кто работал с памятью M95080? Не пишет весь массив


artos5

Рекомендуемые сообщения

День добрый! Кто работал с такой памятью?? Проблема у меня следующая:

Считывать массив получается , но иногда он считывается как ноли.

Писать весь массив (больше одной страницы) тоже не получается, записывается в лучшем случае 2 страницы (64байта) остальной массив остается со старыми данными.

Но вот если писать страницы по отдельности. Например записать во вторую страницу что то , или в третью и т.д. - то все нормально .

Как я пишу:

1) отправляю команду на откл.защиты от записи

2) отправляю команду что я хочу записать по адресу 0

3) потом пишу 32 байта 

далее идет задержка (пробовал разные) 50мс.

повторяю снова 1,2,3 пункты только адрес уже 32. 

и снова заливаю 32 байта , CS при зтом поднимаю перед первым пунктом после первого и после третьего пункта.

Код покажу чуть позже , а то он дома остался....

Кто работал с этой памятью , что я упустил??? 

 

Что может быть лучше в радиоэлектронике, чем программирование микроконтроллеров ?

Ссылка на комментарий
Поделиться на другие сайты

Реклама: ООО ТД Промэлектроника, ИНН: 6659197470, Тел: 8 (800) 1000-321

ну так то вроде обычная SPI EEPROM, коих тьма и все с идентичным интерфейсом.

я бы на пункте "идет задержка" читанул статус-регистр на предмет проверки флага завершения записи. И перед началом цикла записи тоже флажки бы проверял на всякий пожарный. А вообще может и в коде косяк. Посмотрим

Нужно делать то, что нужно. А то, что не нужно, делать не нужно. (С) Винни Пух

Ссылка на комментарий
Поделиться на другие сайты

20% скидка на весь каталог электронных компонентов в ТМ Электроникс!

Акция "Лето ближе - цены ниже", успей сделать выгодные покупки!

Плюс весь апрель действует скидка 10% по промокоду APREL24 + 15% кэшбэк и бесплатная доставка!

Перейти на страницу акции

Реклама: ООО ТМ ЭЛЕКТРОНИКС, ИНН: 7806548420, info@tmelectronics.ru, +7(812)4094849

2 часа назад, mail_robot сказал:

я бы на пункте "идет задержка" читанул статус-регистр

Подскажите пожалуйста , какое значение должно прочитаться если запись завершена?

Что может быть лучше в радиоэлектронике, чем программирование микроконтроллеров ?

Ссылка на комментарий
Поделиться на другие сайты

Выбираем схему BMS для корректной работы литий-железофосфатных (LiFePO4) аккумуляторов

 Обязательным условием долгой и стабильной работы Li-FePO4-аккумуляторов, в том числе и производства EVE Energy, является применение специализированных BMS-микросхем. Литий-железофосфатные АКБ отличаются такими характеристиками, как высокая многократность циклов заряда-разряда, безопасность, возможность быстрой зарядки, устойчивость к буферному режиму работы и приемлемая стоимость. Но для этих АКБ, также как и для других, очень важен контроль процесса заряда и разряда, а специализированных микросхем для этого вида аккумуляторов не так много. Инженеры КОМПЭЛ подготовили список имеющихся микросхем и возможных решений от разных производителей. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

Вот код:

Скрытый текст

void soft_spi_write_instruct(unsigned char instruct)
{
	SPI_WP_SET;
		 delay(DLY);
	char x;
	 SPI_CS_CLR;
	 delay(DLY);
	for(x=0;x<8;x++)  // instruction
	{

		 SCK_SPI_CLR;

	     if (0x80 & instruct)
	     {
			SPI_DATA1;
		 }
	     else
	     {
			SPI_DATA0;
		 }

		 delay(DLY);
		 SCK_SPI_SET;
	      delay(DLY);
		 SCK_SPI_CLR;

      instruct = instruct<<1;
	}
			 delay(DLY);
	SPI_WP_CLR;
}

void soft_spi_write_addr(long addr_dev)
{
	char x;
	SPI_WP_SET;
		 delay(DLY);
	 
	 delay(DLY);

	 delay(DLY);
	for(x=0;x<16;x++)  // instruction
	{
		 SCK_SPI_CLR;
	     if (0x8000 & addr_dev)
	     {
			SPI_DATA1;
		 }
	     else
	     {
			SPI_DATA0;
		 }

		 delay(DLY);
		 SCK_SPI_SET;
         delay(DLY);
		 SCK_SPI_CLR;
	      addr_dev = addr_dev<<1;
	}
		 delay(DLY);
	SPI_WP_CLR;
}

int soft_spi_read()
{
	char data_buff=0;
	char x;

	 SPI_CS_CLR;
	 delay(DLY);

	for(x=8;x>0;x--)
	{
	 SCK_SPI_CLR;
	 delay(DLY);

     if (SPI_IN)
     {
		data_buff |=(1<<(x-1));
	 }

	 SCK_SPI_SET;
	 SPI_DATA1;

      delay(DLY);
	}

    return data_buff;
}

тут я читаю и пишу:


void flash_write(char *data, uint16_t page)
{
uint16_t x=0;
	      SPI_CS_CLR;
	      soft_spi_write_instruct(SPI_FLASH_INS_WRITE_ENABLE);
	         SPI_CS_SET;
			  soft_spi_write_instruct(SPI_FLASH_INS_PAGE_PROGRAM);
				 soft_spi_write_addr(page);
				for(x=0; x<32; x++)
				 {
				 soft_spi_write_instruct(data[x]);
				 }
				 SPI_CS_SET;
			  HAL_Delay(70);
}

void flash_mas_read( uint16_t y, uint16_t addr)
{
uint16_t x=0;
	char data[32];
	  SPI_CS_CLR;
	 HAL_Delay(1);
       soft_spi_write_instruct(SPI_FLASH_INS_READ);
	 HAL_Delay(1);
			 soft_spi_write_addr(addr);
   HAL_Delay(1);
		    for(x=0; x<y; x++) // читаем данные
		    			 {
		    			  tx_buffer0[x] = soft_spi_read();
		    			 }
				 SPI_CS_SET;
			  HAL_Delay(70);
							// return data;
}

void flash_write_arr(char *data, uint16_t size)
{
	uint16_t x=0 , y=0;
	char buff_text[100];
	data+=0;


	for(y=0; y<=size/32; y++) // page
	{
		HAL_Delay(80);
		     send_str_uart1("page addr> ");
		 sprintf(buff_text, "%d ", y*32);
    send_str_uart1(buff_text);
		
				 SPI_CS_CLR;
	      soft_spi_write_instruct(SPI_FLASH_INS_WRITE_ENABLE);
	         SPI_CS_SET;		
			  soft_spi_write_instruct(SPI_FLASH_INS_PAGE_PROGRAM);
				 soft_spi_write_addr(y*32);
		send_str_uart1("\r\n mass buff>\r\n");
		
				for(x=y*32; x<(y*32+32); x++)
				 {
				  soft_spi_write_instruct(data[x]);
					// else {soft_spi_write_instruct(data[x]); x=(y*32+32); }
					 		    
		              sprintf(buff_text, "%c",data[x]);
                  send_str_uart1(buff_text);
				 }
				 send_str_uart1("\r\n");
				 SPI_CS_SET;
				  HAL_Delay(80);
	}
}

 

 

постранично отлично пишет:

void flash_write(char *data, uint16_t page)

а вот весь массив - нет:

void flash_write_arr(char *data, uint16_t size)

 

И с чтением иногда траблы... Бывает ноли считываются . При повторном чтении данные получаю нормальные.

PS: на такие вещи не обращайте внимания , я для отладки прописал. Строки корректно передаются и отправляются на запись :)

sprintf(buff_text, "%c",data[x]); send_str_uart1(buff_text);

 

Что может быть лучше в радиоэлектронике, чем программирование микроконтроллеров ?

Ссылка на комментарий
Поделиться на другие сайты

11 минуту назад, __alexander сказал:

бит busy должен быть сброшен в 0

так, посмотрел даташит, получается:

Цитата

6.3.1 WIP bit The Write In Progress (WIP) bit indicates whether the memory is busy with a Write or Write Status Register cycle. When set to 1, such a cycle is in progress, when reset to 0, no such cycle is in progress.

5a58d23d843c5_Opera_2018-01-12_171741_www_st_com.png.8c52142c04cd9c495ac4f35733059481.png

нужно проверять первый бит, как то так:

if(!(data&0x01))
{
 // тут пишем
}
else
{
 // тут выдаем что шина занята
}

или так:

while(data&0x01);
... // тут пишем 32 байта

// какой вариант на практике лучше???

 

Что может быть лучше в радиоэлектронике, чем программирование микроконтроллеров ?

Ссылка на комментарий
Поделиться на другие сайты

чтение регистра статуса это же отдельная команда. т.е. CS дергать тоже надо. Прочел статус, если там ноль, то пишешь страницу и снова проверяешь статус.

Ссылка на комментарий
Поделиться на другие сайты

17 минут назад, __alexander сказал:

чтение регистра статуса это же отдельная команда

Да я так и подумал . Оформлю в отдельную функцию :)

А при чтении памяти , что то надо проверять?? 

Что может быть лучше в радиоэлектронике, чем программирование микроконтроллеров ?

Ссылка на комментарий
Поделиться на другие сайты

Сделал так:

void read_status(void)
{
char busy=1;
SPI_CS_CLR;
	         
while(busy)
 {	
  HAL_Delay(50);
  SPI_CS_CLR;
  soft_spi_write_instruct(SPI_FLASH_INS_READ_STATUS_REGISTER);
  busy=(soft_spi_read()&0x01);
  SPI_CS_SET;
 }	
}

не работает... Сначала вроде заработало , потом перестало писать. Причем даже первую страницу не пишет.. busy возвращает ноль перед записью.

Что может быть лучше в радиоэлектронике, чем программирование микроконтроллеров ?

Ссылка на комментарий
Поделиться на другие сайты

Проверил значение регистра статуса, выдает 0х8Е

Сейчас запись вообще перестала работать...

а бит SRWD тоже ноль должен быть??

Что может быть лучше в радиоэлектронике, чем программирование микроконтроллеров ?

Ссылка на комментарий
Поделиться на другие сайты

Судя по тому, что Вы описываете, то там нули читаются, то там один раз, вывод напрашивается сам собой - у вас не правильно работает spi.

Ссылка на комментарий
Поделиться на другие сайты

2 минуты назад, __alexander сказал:

у вас не правильно работает spi.

Возможно , но я больше склоняюсь к тому что работает неправильно общий формат передачи команд и данных.

Так как эту библиотеку я применял для флешки EN25T80 , работало хорошо. 

Вообще для этой еепром нужно программно отключать защиту от записи ? Видел примеры в инете , там не делают этого для памяти М95ххх

Что может быть лучше в радиоэлектронике, чем программирование микроконтроллеров ?

Ссылка на комментарий
Поделиться на другие сайты

artos5, вы уверены что понимаете работу SPI в stm32?  Я  не встречал ещё адептов калокуба хоть мало-мальски понявших суть ...

Изменено пользователем dosikus
Ссылка на комментарий
Поделиться на другие сайты

13 минуты назад, __alexander сказал:

Судя по тому, что Вы описываете

Скажите пожалуйста , какое нормальное значение должно быть статус регистра перед записью и чтением? Думаю дело во всяких WRITE PROTECT и т.д.

Что может быть лучше в радиоэлектронике, чем программирование микроконтроллеров ?

Ссылка на комментарий
Поделиться на другие сайты

9 минут назад, artos5 сказал:

Вообще для этой еепром нужно программно отключать защиту от записи ? Видел примеры в инете , там не делают этого для памяти М95ххх

 

Да, перед командой записи лучше подать. От этого Вам не холодно не жарко.

Ссылка на комментарий
Поделиться на другие сайты

1 минуту назад, dosikus сказал:

artos5, вы уверены что понимаете работу SPI в stm32? 

Я юзаю программный SPI. 

Что может быть лучше в радиоэлектронике, чем программирование микроконтроллеров ?

Ссылка на комментарий
Поделиться на другие сайты

Предлагаю просто тысячу раз прочесть ID памяти. Если все тысячу раз вернет значение согласно даташиту, то будем считать что spi работает.

Ссылка на комментарий
Поделиться на другие сайты

7 минут назад, dosikus сказал:

Я  не встречал ещё адептов калокуба хоть мало-мальски понявших суть ...

Я раньше когда пользовался кокосом , настраивал регистры в ручную. Вроде особых проблем не было.

Тут проблема не в этом . Проблема в последовательности подачи команд и данных для записи страницы / всего массива , и какое значение должно быть статус регистра перед записью.

3 минуты назад, __alexander сказал:

Предлагаю просто тысячу раз прочесть ID памяти.

Через пол часика попробую ))) Результаты продемонстрирую !

И еще:

Вам не трудно будет подсказать значение статус регистра перед записью?

Вот даташит на память эту:

http://www.st.com/content/ccc/resource/technical/document/datasheet/28/42/21/c1/13/bf/47/9a/DM00043274.pdf/files/DM00043274.pdf/jcr:content/translations/en.DM00043274.pdf

Что может быть лучше в радиоэлектронике, чем программирование микроконтроллеров ?

Ссылка на комментарий
Поделиться на другие сайты

Вам не надо полный регистр. Вам надо флаг занятости. Читается регистр статуса абсолютно так-же как и ID, если в ID у Вас будет верное значение, то значит и статус читаете правильно.

Ссылка на комментарий
Поделиться на другие сайты

artos5,  могу присоединиться к вам в понедельник , все железо на работе.

Предоставлю и код и веселые картинки с лог. анализатора.

В SPI нет абсолютно ничего сложного чтобы менять его на софтовое ногодрочерство...

Ссылка на комментарий
Поделиться на другие сайты

18 минут назад, __alexander сказал:

Читается регистр статуса абсолютно так-же как и ID,

ID походу у М95080 нету.

а вот статус 1000 раз прочитал, и получил следующее:

Скрытый текст

STATUS_READ: >
8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 8C 
 END
 

Считаю что SPI работает корректно !

Что может быть лучше в радиоэлектронике, чем программирование микроконтроллеров ?

Ссылка на комментарий
Поделиться на другие сайты

Вот код чтения статус регистра:

if(string_cmp("EERST>", rx_buffer0)) 
{
		send_str_uart1("STATUS_READ: >\r\n");
	 int id=0;
	for(x=0;x<1000;x++)
	{
		SPI_CS_CLR;
   soft_spi_write_instruct(SPI_FLASH_INS_READ_STATUS_REGISTER);
   HAL_Delay(1);
	 id = soft_spi_read();
		sprintf(tx_buffer0 , "%2X " , id);
		send_str_uart1(tx_buffer0);
		SPI_CS_SET;
		HAL_Delay(5);
	}
	send_str_uart1("\r\n END\r\n");
	  clear_buffer_rx();
   flg=0; 
}

 

Только что, __alexander сказал:

Вы знаете что перед записью страницы ее надо эразить, чтобы все ячейки стали в FF ? 

Дык это вроде только для флешки актуально ?? Для еепрома вроде не нужно?

Что может быть лучше в радиоэлектронике, чем программирование микроконтроллеров ?

Ссылка на комментарий
Поделиться на другие сайты

2 минуты назад, artos5 сказал:

Дык это вроде только для флешки актуально ?? Для еепрома вроде не нужно?

 

Посмотрел ДШ, действительно, нет ID и стирать не надо. У меня больше советов нет. Код у Вас правильный. ХЗ. Смотрите осциллографом и ЛА. 

Ссылка на комментарий
Поделиться на другие сайты

23 минуты назад, dosikus сказал:

artos5,  могу присоединиться к вам в понедельник , все железо на работе.

Предоставлю и код и веселые картинки с лог. анализатора.

В SPI нет абсолютно ничего сложного чтобы менять его на софтовое ногодрочерство...

Буду Вам весьма признателен за информацию! А то стопарнулся на записи еепром ... Кстати затык с чтением еепром скорее всего связан с переходом памяти в спящий режим .

С первым чтением память пробуждается , со второго чтения уже считывается массив :)

Проверю скоро эту теорию :)

dosikus, программный спи юзаю так как ноги не совпадают (на плате разводка под разные памяти 24Схх и под М95080) , программный спи работает тоже норм.

Что может быть лучше в радиоэлектронике, чем программирование микроконтроллеров ?

Ссылка на комментарий
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.

Гость
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Ответить в этой теме...

×   Вставлено с форматированием.   Восстановить форматирование

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу
  • Сообщения

    • Повторюсь - НЕТ, так как у вас там крутилки, что приведет к искажениям при работе в мостовой схеме.
    • Все верно, вы почти все что нужно сделали.  Только не нужно было добавлять это b=UDR; Сразу после старта сбросить флаг flags = 0; А в основном цикле ждать установки флага FLAG_END_RX. И если он установлен, проверять на совпадение строки в буфере (rx_buf) с вашей строкой (AT+QM \ r \ n .....   .....  AT+MP \ r \ n) При совпадении вызывать выполнение нужного алгоритма.
    • У меня до саба ещё дело не дошло, только сейчас думаю купить амп на полкиловатта, но так можно, при условии, что на входе будет моно, и будет срез частот
    • Про флаг Т: если он не используется в основной программе, а у меня он постоянно в деле. для меня меня отложенная обработка прерывания обычное дело, нужно лишь правильно расставить приоритеты частей программы. И обычное дело: выставляешь частоту задающего генератора побольше, делишь его до получения частоты 1000 Гц каким либо таймером, загоняешь в прерывание с флагом. затем закольцовываешь основную программу с проверкой флага прерывания от таймера 1000Гц. загоняешь программу в Sleep. Получаешь кольцо обработки с образцовым интервалом в 1 мс. После любого прерывания проверяешь флаг от таймера, если он, то сбрасываешь флаг и начинаешь перебирать подпрограммы обработки индикаторов, клавиатуры, и тд. и тп, подпрограммы обработки флагов и др. После окончания обработки всех подпрограмм возвращаешься к Sleep. И так по кольцу. Если происходит прерывание не от таймера, программа выходит из Sleep, проверяется флаг от таймера, если не он (а это не он) обратно к Sleep. В большенстве программ использую этот алгоритм.   GPIOR1 и GPIOR2 в 88 условно можно использовать как флаги, но их адреса больше 0х1Е, на них не распространяются команды cbi, sbi, sbic, sbis, и их сначала нужно загрузить в общий регистр, промодифицировать, и заново сохранить. Эта последовательность длинная, и модифицирует SREG, что сводит на нет работу по сравнению с  классическим GPIOR.
    • @korsaj Сегодня попробовал сделать как ты посоветовал. Получился следующий код. #define F_CPU 7372800UL #define BAUND 9600L #define UBRRL_value (F_CPU/(BAUND*16))-1 #include <avr/io.h> #include <util/delay.h> #include <avr/interrupt.h> #include <stdlib.h> void init_pin(void); #define C_PC0 (~PINC&(1<<PC0)) #define C_PC1 (~PINC&(1<<PC1)) #define LED_1_ON() PORTD|=(1<<PD2) #define LED_1_OFF() PORTD&=~(1<<PD2) #define LED_2_ON() PORTD|=(1<<PD3) #define LED_2_OFF() PORTD&=~(1<<PD3) #define FLAG_END_RX (1<<3) #define FLAG_ERR_RX (1<<4) #define BUF_SIZE 20 char rx_buf[BUF_SIZE]; char buf_index = 0; volatile start=1, flags; char b; ISR(USART_RXC_vect) { b=UDR; if(!(flags & FLAG_END_RX)) { rx_buf[buf_index]=UDR; if(rx_buf[buf_index] == 0x0D) { flags |= FLAG_END_RX; return; } buf_index++; if(buf_index >= BUF_SIZE) { buf_index = 0; flags |= FLAG_ERR_RX; } } } void init_UART() { UBRRL = UBRRL_value; UBRRH = UBRRL_value>>8; UCSRB|=(1<<TXEN); UCSRB|=(1<<RXEN); UCSRC|=((1<<URSEL)|(1<<UCSZ0)|(1<<UCSZ1)); UCSRB|=(1<<RXCIE); sei(); flags = 0; } void send_UART(char value) { while(!(UCSRA&(1<<UDRE))); UDR=value; } int main(void) { init_pin(); init_UART(); while(1) { if (start==1) { _delay_ms(500); send_UART('O'); send_UART('K'); send_UART('!'); send_UART(0x0D); send_UART(0x0A); _delay_ms(10); start=0; } if (b == '0') { LED_1_ON(); LED_2_OFF(); send_UART('N'); send_UART('+'); send_UART('0'); send_UART('0'); send_UART(0x0D); send_UART(0x0A); _delay_ms(10); b=4; } if (b == '1') { LED_1_OFF(); LED_2_ON(); send_UART('N'); send_UART('+'); send_UART('0'); send_UART('1'); send_UART(0x0D); send_UART(0x0A); _delay_ms(10); b=4; } if (b == '2') { LED_1_ON(); LED_2_ON(); send_UART('N'); send_UART('+'); send_UART('0'); send_UART('2'); send_UART(0x0D); send_UART(0x0A); _delay_ms(10); b=4; } if (b == '3') { LED_1_OFF(); LED_2_OFF(); send_UART('N'); send_UART('+'); send_UART('0'); send_UART('3'); send_UART(0x0D); send_UART(0x0A); _delay_ms(10); b=4; } if (C_PC0) { send_UART('P'); send_UART('C'); send_UART('0'); send_UART(0x0D); send_UART(0x0A); _delay_ms(250); } if (C_PC1) { send_UART('P'); send_UART('C'); send_UART('1'); send_UART(0x0D); send_UART(0x0A); _delay_ms(250); } } } void init_pin(void) { DDRC = 0b00000000; PORTC = 0b11111111; DDRD |= ((1<<2)|(1<<3)); PORTD &=~ ((1<<2)|(1<<3)); } Ну как сказать всё работает, но вот меня очень интересует приём команд на саму ATMEGA16. Он так и работает только с цифрами. Более ничего корректно принять не получается даже используя таблицу ascii. А мне нужно будет принимать команды и посложней чем просто буквенно - цифровые. Потому как возвращаясь к командам самого плеера там в этих командах хранятся различные данные. Вот примерно так это всё выглядит. AT+QM \ r \ n Запрос режима работы [0: Bluetooth], [1: MP3] AT+M1 \ r \ n Номер текущего файла AT+M2 \ r \ n Общее количество звуковых файлов AT+MD \ r \ n Источник музыки USB или SD Card AT+MT \ r \ n Общее время воспроизведения текущего файла AT+MK \ r \ n Время воспроизведения текущего файла AT+MP \ r \ n Текущее состояние плеера [0]Стоп, [1]Воспроизведение, [2]Пауза К примеру при отправке команды AT+M1 \ r \ n в ответ мы получим M1 + 000002 \ r \ n при этом здесь может быть любое число в hex формате. Мне же нужно каким то образом принять эти данные и обработать их соответствующим образом. Но при этом и нужно учитывать так же что плеер может сам отправить эти данные по началу воспроизведения трека. Вот в этом сейчас и стоит основная задача. Чего я и пытаюсь получить в итоге.
    • Ну тогда дорога к сименсу в гости - они вам какой-нибудь Desigo CC или даже Siematic предложат, тыщ за 10 долларов. Ну и ещё тыщ 5 надо будет отдать за контроллеры и их конфигурирование.   А если попроще - то можно к отечественным MasterSCADA обратиться, либо к WiredBoard - у них не совсем SCADA система, но нормальные контроллеры, довольно просто настраиваются и можно графическую оболочку рисовать.
  • Похожий контент

×
×
  • Создать...