Перейти к содержанию

Дешифратор Двоично-Шестнадцатеричный Для 7 Сегментного Индикатора


Braimik

Рекомендуемые сообщения

добрый день. Столкнулся с такой проблемой что при подачи сигнала "1111" на 7 сегментном индикаторе ничего не горит он просто тухнет( микруха 7449) вот и решил поставить двоично-шестнадцатеричный дешифратор , либо слушал про 7 сегментый десятично-шестнадцатеричный энкодер. для отображении сигнала "1111" не пустатой а "F". подскажите как на логике собрать? Kибо микруху в Quartuse заранее спасибо

post-178726-0-34953500-1406038470_thumb.jpg

post-178726-0-22484600-1406038491_thumb.jpg

Ссылка на комментарий
Поделиться на другие сайты

Реклама: ООО ТД Промэлектроника, ИНН: 6659197470, Тел: 8 (800) 1000-321

Предлагаю сделать решительный шаг - отказаться на низком уровне от схематики и перейти на языки описания аппаратуры.

На создание такого дешифратора, потребуется написать примено 30-35 строк (н-р vhdl) из которых 18 - описание структуры case. Еще 4 строки - описание портов. Три строки - библиотеки, несколько служебных строк.

Ссылка на комментарий
Поделиться на другие сайты

20% скидка на весь каталог электронных компонентов в ТМ Электроникс!

Акция "Лето ближе - цены ниже", успей сделать выгодные покупки!

Плюс весь апрель действует скидка 10% по промокоду APREL24 + 15% кэшбэк и бесплатная доставка!

Перейти на страницу акции

Реклама: ООО ТМ ЭЛЕКТРОНИКС, ИНН: 7806548420, info@tmelectronics.ru, +7(812)4094849

Можно, например, использовать это: http://www.kontest.ru/datasheet/AVAG0TECHN0L0GIES/HDSP-076x_077x_086x_096x.pdf

только я их нигде в продаже не видел :( .

Или использовать для этой цели старенькие ПЗУ К155РЕ3,(составляется соответствующая прошивка, для каждой комбинации, например: где необходимо чтобы горел сегмент лог. 1, где негорит 0).

к их недостаткам относятся:

1. Большой ток потребления(около 100 и более мА).

2. Необходимость прошивки и специального программатора( например:http://ur4qtp.ddns.ukrtel.net/02/%D0%A0%D0%B0%D0%B4%D0%B8%D0%BE/%D0%9A%D0%BE%D0%BD%D1%81%D1%82%D1%80%D1%83%D0%BA%D1%86%D0%B8%D0%B8/%D0%9F%D1%80%D0%BE%D0%B3%D1%80%D0%B0%D0%BC%D0%BC%D0%B0%D1%82%D0%BE%D1%80%D1%8B/%D0%9A155%D0%A0%D0%953/%D0%9A155%D0%A0%D0%953.shtml)

3. Разряды можно прожигать только один раз( т.е. если где-то ошибся, прожёг не тот разряд, ничего уже нельзя исправить, т.к. нихромовая перемычка уже выжжена и не востанавится).

вы все сдохните!!! а мы в рай!!!

Ссылка на комментарий
Поделиться на другие сайты

Выбираем схему BMS для корректной работы литий-железофосфатных (LiFePO4) аккумуляторов

 Обязательным условием долгой и стабильной работы Li-FePO4-аккумуляторов, в том числе и производства EVE Energy, является применение специализированных BMS-микросхем. Литий-железофосфатные АКБ отличаются такими характеристиками, как высокая многократность циклов заряда-разряда, безопасность, возможность быстрой зарядки, устойчивость к буферному режиму работы и приемлемая стоимость. Но для этих АКБ, также как и для других, очень важен контроль процесса заряда и разряда, а специализированных микросхем для этого вида аккумуляторов не так много. Инженеры КОМПЭЛ подготовили список имеющихся микросхем и возможных решений от разных производителей. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

решительный шаг - отказаться на низком уровне от схематики и перейти на языки описания аппаратуры.

Знать бы этот язык . видел где то что народ такое уже делал. и если и код то помогите пожалуйста его написать

Можно, например, использовать это: http://www.kontest.r...x_086x_096x.pdf

только я их нигде в продаже не видел :( .

это индикаторы с дешифратором сразу которые? я так понял? увы у меня 3лс321А индикаторы=)

Ссылка на комментарий
Поделиться на другие сайты

Вот ещё вспомнил...

1305946390_2.png

это преобразователь двоичного кода в двоично-десятичный.

можно собрать две такие схемы и к ним, там где на выходе двоично-десятичный код, подключить стандартные преобразователи кодов в семисегментный...

Правда при этом увеличится как количество дешифраторов, так и семисегментных индикаторов, аж в два раза!

И в итоге схема разрастётся до размеров "покрывала" :crazy:

Изменено пользователем K155TM2

вы все сдохните!!! а мы в рай!!!

Ссылка на комментарий
Поделиться на другие сайты

И в итоге схема разрастётся до размеров "покрывала" :crazy:

что нам дает эта схема? ну и пусть разрастается мы ее в Quartuse в один корпус запихнем и не будет много места занимать=)

Ссылка на комментарий
Поделиться на другие сайты

"что нам дает эта схема?" -

Индикация чисел, на семисегментном индикаторе, будет уже не в шестнадцатиричном формате(0-F), а в десятичном (0-9).

вы все сдохните!!! а мы в рай!!!

Ссылка на комментарий
Поделиться на другие сайты

Экспромтом пишу прямо тут

library ieee;
use ieee.std_logic_1164.all;
--D6 d5 d4 d3 d2 d1 d0
--A  B   C   D  E    F   G
--децимальная точка не используется
-- 1 - погашенный сегмент, 0 - засвеченный
entity HexDecoder is
port(
  clk:in std_logic;
 Hex:in std_logic_vector(3 downto 0);
 Symbol:out std_logic_vector(6 downto 0)
);
end entity;
architecture dc of HexDecoder is
begin
process(clk)
begin
if(rising_edge(clk))then
case Hex is
when "0001" => Symbol<="1001111";--1
when "0010"=>  Symbol<="0010010";--2
when "0011"=> Symbol<="0000110";--3
when "0100"=>Symbol<="1001100";--4
when "0101"=> Symbol<="0100100";--5
when "0110"=> Symbol<="0100000";--6
when "0111"=> Symbol<="0001111";--7
when "1000"=> Symbol<="0000000";--8
when "1001"=>Symbol<="0000100";--9
when "1010"=>Symbol<="0001000";--A
when "1011"=>Symbol<="1100000";--b
when "1100"=>Symbol<="0110001";--C
when "1101"=>Symbol<="1000010";--d
when "1110"=>Symbol<="0110000";--E
when "1111"=>Symbol<="0111000";--F
when others=>Symbol<="0000001";--0
end case;
end if;
end process;
end dc;

Ссылка на комментарий
Поделиться на другие сайты

И примерно вот так это выглядит на языке Verilog:

module HexDecoder(
clk,
hex,
symbol
);

input clk;
input [3:0] hex;

output [6:0] symbol;

reg [6:0] symbol;

always @(posedge clk)
case (hex)
4'h1 : symbol <= 7'b1001111;
4'h2 : symbol <= 7'b0010010;
4'h3 : symbol <= 7'b0000110;
4'h4 : symbol <= 7'b1001100;
4'h5 : symbol <= 7'b0100100;
4'h6 : symbol <= 7'b0100000;
4'h7 : symbol <= 7'b0001111;
4'h8 : symbol <= 7'b0000000;
4'h9 : symbol <= 7'b0000100;
4'hA : symbol <= 7'b0001000;
4'hB : symbol <= 7'b1100000;
4'hC : symbol <= 7'b0110001;
4'hD : symbol <= 7'b1000010;
4'hE : symbol <= 7'b0110000;
4'hF : symbol <= 7'b0111000;
default: symbol <= 7'b0000001;
endcase
endmodule

Ссылка на комментарий
Поделиться на другие сайты

  • 3 месяца спустя...

Вот ещё вспомнил...

1305946390_2.png

это преобразователь двоичного кода в двоично-десятичный.

можно собрать две такие схемы и к ним, там где на выходе двоично-десятичный код, подключить стандартные преобразователи кодов в семисегментный...

Правда при этом увеличится как количество дешифраторов, так и семисегментных индикаторов, аж в два раза!

вот эту схему чтобы до 1000 как доделать?

Ссылка на комментарий
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.

Гость
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Ответить в этой теме...

×   Вставлено с форматированием.   Восстановить форматирование

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу
×
×
  • Создать...