Перейти к содержанию

Usart В Mega48Pa Принимает И Передает Только 128 И 0 Вчем Дело.


udlcvn

Рекомендуемые сообщения

В место любых данных передает только 0 или 128.

Программа просто передает известные значения но получаем в место отправленных только 0 или 128.

Код можно пропустить и прочитать до конца сообщение для понимания проблемы.

Настраеваю вот так

;==========================================================================================================================

;===================== Константы UART =====================================================================================

.equ ON_UCSR0A = (0<<RXC0 | 0<<TXC0 | 0<<UDRE0 | 0<<FE0 | 0<<DOR0 | 0<<UPE0 | 0<<U2X0 | 0<<MPCM0)

.equ ON_UCSR0B = (1<<RXCIE0 | 0<<TXCIE0 | 0<<UDRIE0 | 1<<RXEN0 | 1<<TXEN0 | 0<<UCSZ02 | 0<<RXB80 | 0<<TXB80)

.equ ON_UCSR0C = (0<<UMSEL01 | 0<<UMSEL00 | 0<<UPM01 | 0<<UPM00 | 1<<USBS0 | 1<<UCSZ01 | 1<<UCSZ00 | 0<<UCPOL0)

; Настройка скорости

.equ ON_UBRR0H = 0

.equ ON_UBRR0L = 25

;===================== константы UART =====================================================================================

;==========================================================================================================================

макрос выкладую чтобы видно было че за команда "uout"

.macro uout

.if @0<0x40

out @0,@1

.else

sts @0,@1

.endif

.endm

потом записую так

//*************************************************************************************

;===================== Настройка UART =================================================

ldi temp, ON_UCSR0A

uout UCSR0A, temp

ldi temp, ON_UCSR0B

uout UCSR0B, temp

ldi temp, ON_UCSR0C

uout UCSR0C, temp

; Настройка скорости

ldi temp, ON_UBRR0H

uout UBRR0H, temp

ldi temp, ON_UBRR0L

uout UBRR0L, temp

;===================== настройка UART =================================================

//*************************************************************************************

специально для проверки организовал такой цикл.

main:

ldi temp, 255

inc time1

cp time1, temp

brne main

ldi temp, 255

inc time2

cp time2, temp

brne main

inc temp2

uout udr0, temp2

rjmp main

он отправляет раза два за секунду байты каждый раз больше на единицу но приходит в место данных только 128 и 0

вот копи паст 128 0 128 128 0 128 128 128 128 128 128 128 0 128 128 128 128 128 128 0 128 128 128 128 128 0 128 128 0 128 0 0 128 128 0 128 0 128 128 128 128 128 128 0 128 128 128 128 128 0 128 128 0 128 0 128 128 128 128 128 0 128 128 128 128

а должно быть

1 2 3 4 5 6 и так далее по кругу.

До этого организовывал передаю на контроллер который в прерывании приема отправлял байт назад.

И было замечено что при отправке байта примерно 190 и больше по светодиоду было видно что контроллер вообще ниче не отправляет да и принятых в компе байт не добавлялось.

Предположение что не принимает старт бит а прием байтов с маленьким значением из за большого числа нулей воспринимается как старт бит.

скорости вроде правильные.

кварц 8МГц

скорость порта

serialPort1.BaudRate = 19200;

Хочю отметить что прием передачю на мега8 я уже организовывал таких проблем небыло.

Изменено пользователем udlcvn
Ссылка на комментарий
Поделиться на другие сайты

Реклама: ООО ТД Промэлектроника, ИНН: 6659197470, Тел: 8 (800) 1000-321

Проверьте фуз CLKDIV8, скорее всего дело в нем. Когда выкладываете простыню кода, сворачивайте ее под спойлер и не забывайте про форматирование.

В даташите указан алгоритм передачи данных - надо дождаться появления бита UDRE0 в регистре UCSR0A и только потом писать в UDR0.

Задержки проще организовывать счетом многобайтных чисел, чем несколькими отдельными циклами

.equ DELAY_TIME = 2000
WAIT:
 ldi time1, low(DELAY_TIME)
 ldi time2, high(DELAY_TIME)
WAIT_CYCLE:
 subi time1,1
 sbci time2,0
   brne WAIT_CYCLE
ret

Для настройки UART лучше использовать не число, а макрос: и ошибиться сложнее, и менять проще

.equ F_CPU=12000000    ;тактовая частота контроллера
.equ UART_BAUD=9600    ;скорость UART
.equ UART_UBRR=F_CPU/8/UART_BAUD-1 ;<--- вот она, формула. Ее можно, конечно, улучшить, чтобы делала "честное" округление, но перестанет походить на ту, что в даташите

   ldi temp,(1<<U2X)
   uout UCSRA,temp
   ldi temp,low(UART_UBRR)
   uout UBRRL,temp
   ldi temp,high(UART_UBRR)
   uout UBRRH,temp

А вот настройки UART (ON_UCSR0A, ON_UCSR0B, ON_UCSR0C) вряд ли имеет смысл выносить в макросы - вычислять там ничего не надо и используются они один раз.

Ругался на отсутствие форматирования исходного кода (включая отсутствие осмысленных комментариев и наличие неубранного после конфигуратора мусора) не менее 15 раз.

Часть моих наработок.

Ссылка на комментарий
Поделиться на другие сайты

20% скидка на весь каталог электронных компонентов в ТМ Электроникс!

Акция "Лето ближе - цены ниже", успей сделать выгодные покупки!

Плюс весь апрель действует скидка 10% по промокоду APREL24 + 15% кэшбэк и бесплатная доставка!

Перейти на страницу акции

Реклама: ООО ТМ ЭЛЕКТРОНИКС, ИНН: 7806548420, info@tmelectronics.ru, +7(812)4094849

Все верно дело во фузе CLKDIV8 был запрограммирован. Про существование данного фуза я раньше не слышал.

Флаг опустошения буфера передачи UDRE0 я не проверяю когда уверен что буфер пуст. В данном случае из за большого интервала между передачами я не проверяю.

А если я точно знаю что и буфер и сдвиговый регистр пуст то загружаю два байта подряд. И потери данных не было. Я так отправлял данные с АЦП сразу два байта старший и младший.

Так как АЦП работал в непрерывном режиме и время преобразования постоянно то просто рассчитал минимальную скорость передачи чтобы данные не задерживались (отправлялись быстрей чем получались). Так удобней прям из прерывания АЦП и сокращается время обработки прерывания.

А если данные будут передаваться медленней необходимого то все равно будет потеря данных, в данном случае даже если проверять бит UDRE0.

Бит UDRE0 проверяют чтоб не перезаписать данные которые еще не переместились в сдвиговый регистр.

Ссылка на комментарий
Поделиться на другие сайты

Особенности хранения литиевых аккумуляторов и батареек

Потеря емкости аккумулятора напрямую зависит от условий хранения и эксплуатации. При неправильном хранении даже самый лучший литиевый источник тока с превосходными характеристиками может не оправдать ожиданий. Технология, основанная на рекомендациях таких известных производителей литиевых источников тока, как компании FANSO и EVE Energy, поможет организовать правильный процесс хранения батареек и аккумуляторов. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

Бит UDRE0 проверяют...

Когда программе делать нечего. Вот и циклятся, ничего не делая, ожидая флага. А вообще, у Меги есть три вектора прерываний ("регистр данных пуст", "прием завершен" и "передача завершена"), использование которых позволяет не зацикливаться, ожидая передачу (прием) и не терять данные.

Ссылка на комментарий
Поделиться на другие сайты

Выбираем схему BMS для корректной работы литий-железофосфатных (LiFePO4) аккумуляторов

 Обязательным условием долгой и стабильной работы Li-FePO4-аккумуляторов, в том числе и производства EVE Energy, является применение специализированных BMS-микросхем. Литий-железофосфатные АКБ отличаются такими характеристиками, как высокая многократность циклов заряда-разряда, безопасность, возможность быстрой зарядки, устойчивость к буферному режиму работы и приемлемая стоимость. Но для этих АКБ, также как и для других, очень важен контроль процесса заряда и разряда, а специализированных микросхем для этого вида аккумуляторов не так много. Инженеры КОМПЭЛ подготовили список имеющихся микросхем и возможных решений от разных производителей. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.

Гость
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Ответить в этой теме...

×   Вставлено с форматированием.   Восстановить форматирование

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу
  • Сообщения

    • Обычный DSO PRO, генератор под 4кГц получился, трудно поймать высокие SR на разрешении менее 2uS. Но до 30V/uS генерируется правильно. Musesы, Lme49xxx, opa 16xx/21хх и т.п. все согласно даташитов. Более скоростные не пробовал. Разброс по току более 1mA встречал только у ОР27 и LF353.
    • Спрошу здесь, извиняюсь заранее если не совсем верно в тему.  Вопрос в следующем - хочу сделать экранчик для своего цапа (преобразователя) чтобы выводил частоту дискретизации (допустим это). Я узнал что можно реализовать эту задачу на контроллере Ардуино, можно Нано или другой и дисплей SSD1306 I2C OLED. Сигнал с цапа снимается по LRCLK с I2S и преобразовывается в I2C для вывода на экран. Купить экран и контроллер не проблема, а как подключить контроллер к цапу если на самом нано нет нужного пина или я что то не понимаю и все возможно? Прошивка есть! Хочу разобраться с подключением и купить плату с экраном. Можете обьяснить как соединять?  Вот у человека все подключено и работает. Частично он мне обьяснил...    
    • Ну надо сказать, заработало. Причём режимы даже совпали с расчётными. По усилению только не совсем понятно, толи погрешность осциллографа, толи действительно есть небольшой разбег...
    • "Так а если этот ваш телефон или монитор перенести на другую улицу - там все эти глюки пропадают?"  Телефон так работает везде по городу и области. Монитор не везде, но степень разная, где-то лучше, где-то хуже, но это не 1 монитор, это любой монитор. То есть в каких-то местах где одно устройство хорошо работает - там будет работать хорошо и другие.
    • Вот такие "мелкие" казалось бы детали, которые многие могут не заметить. Но я сразу подозревал, что на этой плате все-таки стоит внутренняя видеокарта (несмотря на заявления ТС что ее там нет). И выложеные (большое спасибо за это) выше таблицы раз за разом это подверждают тоже - чипсет 945GZ таки имеет встроенную видеокарту. В данном случае, ТС также использует внешнюю видеокарту (NVidia GeForce 210), а для внутренней не установлен драйвер, почему он и показан как "Microsoft Basic Adapter" (используется "драйвер Windows по-умолчанию"). Для того чтобы "добавить" памяти в систему (максимум что может добавиться это 512мб, писал об этом выше), надо вначале выключить внутреннюю видеокарту в BIOS (ну и включить Memory Re-Map, как уже предлагали). 
    • Так а если этот ваш телефон или монитор перенести на другую улицу - там все эти глюки пропадают?
    • Всех радиолюбителей поздравляю С ДНЁМ РАДИО.
×
×
  • Создать...