Перейти к содержанию

Вопрос по логике ТТЛ 155 серии


Георгий1

Рекомендуемые сообщения

Привет, коллеги! На днях захотелось мне усовершенствовать мою самоделку разработанную мной и собранную на основе 155 серии, прослужившую мне верой и правдой больше 10-ти лет. Проблема в том, что не могу придумать чем можно организовать подачу импульсов положительного перепада на входы "С" триггеров, чтобы транзисторы отпирались и запирались в последовательности, указанной на рисунке. На всякий случай - подробнее: нужна схема узла, который бы по команде (положительному или отрицательному перепаду - неважно) выдавал импульсы положительного перепада на входы "С" триггеров DD2.1 и DD2.2, управляющих транзисторами в очерёдности, указанной на схеме, т. е. когда на входах "D" DD2.1 и DD2.2 установлена лог. 1, то положительный перепад должен прийти сначала на вход "С" элемента  DD2.1, а затем - на вход "С" элемента DD2.2, а когда на входах "D"установлен лог. 0, то наоборот, положительный перепад должен прийти сначала на вход "С" элемента  DD2.2, а затем - на вход "С" элемента DD2.1. Размышлял я над различными вариантами в том числе с применением NE555 (К1006ВИ1), но что-то ничего не придумал. Может у кого объявится светлая мысль по данному вопросу, помогите, подскажите. Пожалуйста, вариантов с микроконтроллерами и реле не предлагать.150427871_.jpg.efcb82146f30696eefe073f5f13cf3cf.jpg

Ссылка на комментарий
Поделиться на другие сайты

Реклама: ООО ТД Промэлектроника, ИНН: 6659197470, Тел: 8 (800) 1000-321

20% скидка на весь каталог электронных компонентов в ТМ Электроникс!

Акция "Лето ближе - цены ниже", успей сделать выгодные покупки!

Плюс весь апрель действует скидка 10% по промокоду APREL24 + 15% кэшбэк и бесплатная доставка!

Перейти на страницу акции

Реклама: ООО ТМ ЭЛЕКТРОНИКС, ИНН: 7806548420, info@tmelectronics.ru, +7(812)4094849

8 часов назад, Георгий1 сказал:

 микроконтроллерами и реле не предлагать.

155 устарели давно  Зайди на ютуб, там есть уроки по МК. Поверь, намного интереснее и возможностей больше. Это сначала страшно, а потом всё поймёшь.  Всё можно сделать на одной микросхеме.

Ссылка на комментарий
Поделиться на другие сайты

Особенности хранения литиевых аккумуляторов и батареек

Потеря емкости аккумулятора напрямую зависит от условий хранения и эксплуатации. При неправильном хранении даже самый лучший литиевый источник тока с превосходными характеристиками может не оправдать ожиданий. Технология, основанная на рекомендациях таких известных производителей литиевых источников тока, как компании FANSO и EVE Energy, поможет организовать правильный процесс хранения батареек и аккумуляторов. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

9 часов назад, Георгий1 сказал:

 светлая мысль по данному вопросу,

Такая блеснула  .

Вариант универсальный  .  У СD4013  S- включение, R - выключение , CD4017 - кольцевой счетчик . Выхода выдают поочередно 1 по кругу . 

CD4013 - это почти 155ТМ2 . Подобрать аналог для СD4017  - "домашка" .

1185840734_.png.72e0abc40c30cfa1335971ba73dd7a9c.png

 

Ссылка на комментарий
Поделиться на другие сайты

Выбираем схему BMS для корректной работы литий-железофосфатных (LiFePO4) аккумуляторов

 Обязательным условием долгой и стабильной работы Li-FePO4-аккумуляторов, в том числе и производства EVE Energy, является применение специализированных BMS-микросхем. Литий-железофосфатные АКБ отличаются такими характеристиками, как высокая многократность циклов заряда-разряда, безопасность, возможность быстрой зарядки, устойчивость к буферному режиму работы и приемлемая стоимость. Но для этих АКБ, также как и для других, очень важен контроль процесса заряда и разряда, а специализированных микросхем для этого вида аккумуляторов не так много. Инженеры КОМПЭЛ подготовили список имеющихся микросхем и возможных решений от разных производителей. Подробнее>>

Реклама: АО КОМПЭЛ, ИНН: 7713005406, ОГРН: 1027700032161

51 минуту назад, colorad сказал:

CD4013 - это почти 155ТМ2 . Подобрать аналог для СD4017  - "домашка" .

1.очень правильное замечание "почти", в ТТЛ сериях нет RS-триггеров управляемых высоким уровнем.
2. в свете п1 "домашка" = 74HC4017 лишена смысла...
4017 заменить на 155ид3 + любой двоичный счетчик, 155ТМ2 оставить

Ссылка на комментарий
Поделиться на другие сайты

10 часов назад, human_ сказал:

 МК...намного интереснее и возможностей больше. Это сначала страшно, а потом всё поймёшь.  Всё можно сделать на одной микросхеме.

МК я не боюсь, есть ряд причин по которым я не хочу с ними связываться. Во-первых, у меня есть в наличии много м-схем серий 155, 561 и SN74, доставшихся мне, как говорится "нахаляву". Во-вторых, зачем мне морочить голову с освоением программирования, приобретением программаторов, самих МК, разработкой прошивок и проблемой периодической перезагрузки устройства по причине зависания прошивки, если есть возможность собрать устройство на том, что уже имеется в наличии, надёжно работающее по жёстко замонтированной логике?

Ссылка на комментарий
Поделиться на другие сайты

"Сначала", "потом" - это не физические величины. Микросекунды задержки нужны? Миллисекунды? Секунды? Минуты?

Просят - не откажи. Не просят - не навязывайся!

Простота хуже воровства.

Ссылка на комментарий
Поделиться на другие сайты

10 часов назад, IMXO сказал:

 ...155ид3 + любой двоичный счетчик, 155ТМ2 оставить

Я тоже рассматривал вариант с ИД3 - крупноватая по габаритам, к тому же вдобавок к двоичному счётчику требуется генератор для выдачи счётных импульсов на двоичный счётчик + ждущие мультивибраторы для выдачи импульсов на входы "С" триггеров. Это по моим подсчётам нужно будет добавлять ещё как минимум 5 шт. м-схем (ИД3, двоичный счётчик (допустим ИЕ5), 2 шт. ЛА3 (или ЛЕ1) для генератора и ждущих мультивибраторов и ТМ2) - многовато по занимаемой площади . По предложению colorad -

 

11 часов назад, colorad сказал:

CD4013 - это почти 155ТМ2

вот что мне удалось найти 1564083790_2.jpg.9b4987f8e1f194bd76eef0e3e4b8c971.jpg т. е. это совсем не почти ТМ2, т. к. принадлежит совсем к другому типу логики. Ну а насчёт ТМ2 согласно таблице истинности для ТМ2 по предложенной Вами схеме при всех нулях на всех входах в исходном состоянии триггеры будут находиться в режиме "неопределённость", что, согласитесь, совсем нежелательно.  Хочется реализовать это с минимумом занимаемой площади, например на двух NE555, чтобы при подаче перепада всё равно какого, положительного или отрицательного на первую м-схему она выдавала положительный перепад одновременно на вход "С" ТМ2 и на запуск второй NE555, которая выдавала бы положительный перепад одновременно на вход "С" другой ТМ2 и для самоблокировки до прихода следующего импульса. Пришла мне тут в голову мысль реализовать задачу на основе какой-нибудь, пока не знаю какой,  м-схемы-указателя уровня сигнала, работающего на светодиодную линейку подачей лог.1 на вход этой м-схемы через интегрирующую RC цепочку.  Попробую покопать в этом направлении, может что получится. Большое спасибо всем откликнувшимся, но не прощаюсь, жду новых предложений.    

48 минут назад, Falconist сказал:

"Сначала", "потом" - это не физические величины. Микросекунды задержки нужны? Миллисекунды? Секунды? Минуты?

Нет не нужны - только само наличие перепадов.

Ссылка на комментарий
Поделиться на другие сайты

@Георгий1 логика может быть такая: Из управляющего сигнала формируете короткий положительный импульс, а уже из него, по спаду - ещё один, такой же. Получите два сдвинутых импульса. Далее, берёте 155ЛР1 и коммутируете эти импульсы в нужный триггер, в зависимости от состояния D-входа. Если на выходе DD1.2 нет инверсного выхода, то понадобится ещё один инвертор.

Ссылка на комментарий
Поделиться на другие сайты

26 минут назад, Георгий1 сказал:

не нужны

Ну чушь же! Микросекунды обеспечиваются всего лишь "штатными" задержками микросхем. Поставьте пару инверторов последовательно - и получите. А таймеры - это минимум несколько миллисекунд.

В  конце концов, дайте дополнительную тактовую последовательность. По одному из тактов активируйте ордин из выходов, а по следующему - другой.

Просят - не откажи. Не просят - не навязывайся!

Простота хуже воровства.

Ссылка на комментарий
Поделиться на другие сайты

Falconist, извиняюсь, я немного не понял в части "задержки",  потому ляпнул не то. Да, задержки между перепадом по входам "D"  и выдачей лог.1 по "С", а также последующей выдачей лог.1 на вход "С" следующего триггера нужны. Время строго не лимитировано, но хотя-бы по 0,5 - 1 сек. Применение именно ТМ2 необязательно, может быть можно применить что-нибудь другое? 

1 час назад, Falconist сказал:

По одному из тактов активируйте ордин из выходов, а по следующему - другой.

Это по части активации, а как быть с ДЕактивацией? Т. е. процесс должен пройти в обратном порядке.  

Ссылка на комментарий
Поделиться на другие сайты

14 минут назад, J_Ohm сказал:

Если правильно понял...

Неправильно. Должны переключиться оба триггера от одного импульса, но поочерёдно.

Ссылка на комментарий
Поделиться на другие сайты

J_Ohm, насколько я понял из Вашей схемы на одном из выходов триггеров будет постоянно присутствовать лог. 1, на другом - лог.0, т. е. один из транзисторов будет постоянно открыт, другой закрыт. Мне нужно, чтобы в исходном состоянии оба транзистора были закрыты, затем по команде должен сначала открыться VT1, а после небольшой паузы, примерно 0,5-1 сек, неважно, открыться VT2. В таком оба открытом состоянии они должны оставаться сколь угодно долго до прихода следующей команды, после которой должен закрыться сначала VT2, а после такой-же паузы, которая была при открытии, закрыться VT1.  

Ссылка на комментарий
Поделиться на другие сайты

36 минут назад, Гость_Григорий_Т. сказал:

Должны переключиться оба триггера от одного импульса, но поочерёдно.

Должны переключиться оба триггера, поочерёдно, но не от одного импульса, а от двух разнесённых по времени импульсов, подаваемых на входы "С" триггеров, причём при открытии транзисторов импульс лог. 1 должен прийти сначала на вход "С" верхнего по схеме триггера, а следующий   импульс лог. 1 - на вход "С" нижнего по схеме триггера. При закрытии транзисторов наоборот импульс лог. 1 должен прийти сначала на вход "С" нижнего по схеме триггера, а следующий   импульс лог. 1 - на вход "С" верхнего по схеме триггера.

 

51 минуту назад, IMXO сказал:

Вы бы нарисовали график/эпюры  того что на входе и что нужно получить  на выходе...

Пожалуйста! 1855970105_1.jpg.200599ab20af1d3086c098c2b0c59d02.jpg864876778_2.jpg.feca1e9b4953760d4677868790cf53d7.jpg

Ссылка на комментарий
Поделиться на другие сайты

@Георгий1 я вам привёл именно такую схему.

Только, если задержка должна быть такая большая, её нужно сформировать на NE555 вместо ЛА3.

Ссылка на комментарий
Поделиться на другие сайты

@Георгий1 , а вот эти задержки, - 0,5 - 1 сек, - их схема должна специально вырабатывать или они являются просто обстоятельством?

1 час назад, Георгий1 сказал:

насколько я понял из Вашей схемы на одном из выходов триггеров будет постоянно присутствовать лог. 1, на другом - лог.0

Спойлер

Начальное состояние триггеров случайно. Требуется предустановка в 0 для верхнего и в 1 для нижнего. Соответственно, оба транзистора исходно будут выключены. "Запрещенное", если вдруг, состояние по условию задачи, - 0, 0 для триггеров (0, 1 для транзисторов), сменится на противоположное при первом же такте. В дальнейшем - по алгоритму в зависимости от D.

Ссылка на комментарий
Поделиться на другие сайты

 

 

52 минуты назад, Гость_Григорий_Т. сказал:

NE555 вместо ЛА3.

Спасибо, Григорий, за вариант, проанализирую, попробую собрать. А ждущий мультивибратор можно собрать и на ЛА3 по такой схеме271163858_-.jpg.f4b8796c4aaf06f75c1866d7f6138871.jpgВ моей самоделке такой присутствует и успешно работает, но с конденсатором 1000мкФ и резистором 1,5К. Выдержка получается около 2 сек. 

24 минуты назад, J_Ohm сказал:

а вот эти задержки, - 0,5 - 1 сек, - их схема должна специально вырабатывать

Да, должна вырабатывать специально схема, причём в определённой последовательности, указанной мной выше. В том-то и вопрос темы - как реализовать эту схему? 

Ссылка на комментарий
Поделиться на другие сайты

6 часов назад, Георгий1 сказал:

по предложенной Вами схеме при всех нулях на всех входах в исходном состоянии триггеры будут находиться в режиме "неопределённость",

На D "0" , а на С при подачи питания организуется запись . Конденсатор на землю , резистор на VCC .

6 часов назад, Георгий1 сказал:

Хочется реализовать это с минимумом занимаемой площади

МК без вариантов .

 

Ссылка на комментарий
Поделиться на другие сайты

18 часов назад, IMXO сказал:

"домашка" = 74HC4017 лишена смысла...

это для желающих помучится ...

Если правильно понял - cчетчик реверсивный . Потому нужен элемент (U2)   запоминающий предыдущее направление .

132228093_-.png.d2798908ac4ce408aa6354ff45830299.png

А обнуление в начале - "домашка"

 

 

Ссылка на комментарий
Поделиться на другие сайты

4 часа назад, Георгий1 сказал:

Пожалуйста! 

Алаверды, полностью с Вашей хотелкой,
только одного не пойму, на..я вообще выделять счетные импульсы и пользовать D-триггеры :unknw::umnik2:
dead_tame.jpg.5532053fd404fc8bd3b04d8173318799.jpg

Ссылка на комментарий
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.
Примечание: Ваш пост будет проверен модератором, прежде чем станет видимым.

Гость
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Ответить в этой теме...

×   Вставлено с форматированием.   Восстановить форматирование

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
  • Последние посетители   0 пользователей онлайн

    • Ни одного зарегистрированного пользователя не просматривает данную страницу
  • Сообщения

    • Увы, конструктивно не получится. Не зря для увеличения добротности катушек выполняли намоткой типа "универсаль".
    • Но у меня конфигурация магнитного поля будет другая. Она будет отличаться у рандомно перевитого жгута проволок литцендрата и параллельно уложенных лентой проволок. Наверное все таки намотаю ленту из проволок когда время найдется, тут уже чисто интерес выяснить сравнения разных намоток. Жалко литцендрата заводского нету. С Авито до чела не могу дозвониться, так бы с Питера заказал кусок. Больше не меньше! Тем более я сам писал выше что плотность не будет одинаковой.
    • Хороша таблица, только неужто вы думаете если в ней указана глубина проникновения то и "плотность" тока будет одинакова. Гляньте ещё раз статью, там есть коэф Н определяющий соотношение сопротивлений проводника.
    • Окружить решёткой, дверь на замке, открывающемся по фотографии лица. Зайти может любой, но после фотографирования. Выйти чуть сложнее - нужно купить три стакана кофе и бублик.
    • Мне кажется, что вся проблема резко увеличенного сопротивления ленты в токах Фуко. Сходу не могу представить их форму, наверное она будет такая. Вот я и говорю, а что если ее разрезать вдоль на много волосков, то есть сделать из параллельно уложенных проволок. Мы помним, что периметр меди для кабеля 6х0,5 около 15 мм. Периметр этой ленты 24 мм. Но сопротивление ленты 0,50 Ом, против 0,12 у кабеля.Значит есть еще какие-то сильные потери. Кстати, к калькулятору на сайте Coil32 тоже вопрос, я забил все данные ленты, геометрию и т.д. И задал индуктивность в 2 мкГн, оно выдало 7 витков и 123 см ленты. Я намотал - прибор показа что-то типа 4,3 мкГн фактических. Так что программам доверяй, но проверяй.
    • В данном случае конечно за счет роста R. Откуда возьмется снижение Z, если вы стремитесь к все той же индуктивности?
×
×
  • Создать...